Перейти к содержанию
    

Altera инициализация памяти

Здравствуйте

У меня возник такой вопрос:

Я хочу перевести проект сделанный на Verilog под ПЛИС Xilinx на ПЛИС Altera

В проекте используется такой примитив как RAM64X1S(однопортовое ОЗУ с организацией 64слова х 1 разряд).

Я сделал через мегафункцию аналогичный блок памяти в Quartus.

В проекте Xilinx идет инициализация этой памяти таким образом

RAM64X1S #(.INIT(64'b0000000000110101100010001111010010010111100010001101011000000000)

 

Могу я как-то сделать для проекта под Altera так же или у меня есть только возможность инициализации через mif-файл?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

насколько я помню у альтеры нет инициализации через параметр мегафункции.

Так что mif или hex в интел формате

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

насколько я помню у альтеры нет инициализации через параметр мегафункции.

Так что mif или hex в интел формате

Или аттрибут

 

(* ram_init_file = "my_init_file.mif" *) reg [7:0] mem[0:255];

или

reg [7:0] mem[0:255] /* synthesis ram_init_file = " my_init_file.mif" */;

 

http://quartushelp.altera.com/14.1/mergedP...og_file_dir.htm

 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...