Перейти к содержанию
    

Andre_2006

Участник
  • Постов

    14
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный

Контакты

  • ICQ
    Array
  1. По моему установка такой фичи тебе поможет set_global aware_adder_architecture ripple Удачи
  2. Спасибо попробую, я просто считал, что это может быть ещё из-за того что вывод PROG спартана я завёл на вывод CF микросхемы XCF02S и она как-то на этом выводе мешает JTAG цепочке. Но скорей всего это маловероятно, потому что в даташитах именно так и рекомендуют заводить PROG на CF.
  3. M0-M2 я заземлил, так как загрузка идёт в режиме Serial Master Mode. Питание в норме 3.3 и 2.5. На ножку прог не смотрел. НО там дотяжка через 5.1 до питания 3.3.
  4. Я работал с WinACST. Это среда для симуляции цифровых схем в библиотеке БМК 5503XM5. Схему разрабатывал в Оркаде, в библиотеке этого же кристалла. Преретаскивал в среду симуляции через edif. А затем разводили по моему Ковчегом.
  5. Вот прикрепил если ещё надо. Gost_ttf.zip
  6. Попробуй лучше ISE 6.3, судя по общению в форуме c ISE7.1 очень много глюков. А может ucf файл неправильно создал, не вывел реально выводы. А синтезишь чем XST, Leonardo или Symplify. Попробуй разные синтезаторы.
  7. В цепочке стоит последовательно xcf02s и SPARTAN 2. Почему-то то правильно то неправильно читаеться Device ID и вобще какие-то проблемы в линии. Хотя цепочка ISE 6.3 определляеться правильно. PULL UP по линиям JTAG стоят. Каабель програмирования фирменный, всё конденсаторы и резисторы необходимые в нём есть. Что может быть, может какие-то ёмкости ещё надо ввести или наоборот убрать подтяжки. Не пойму. Раньше вроде с такими проблемами не сталкивался. Да и ещё пробовал из цепочки убирать спартан, флешка xcf нормально шьёться и спартан из неё запускаеться, как включаю в цепочку спартан начинаються глюки с прошивкой, причём оставлял один спартан в цепочке теже проблемы.
  8. Бери PIC , только с встроеннным UART. PIC16F84 не имеет практическ иникакой переферии одни порты. Преимущество только во внутренней флеш памяти. Найти что-то похожее с внутренней флеш памятью и встроенным UART. Для пиков очень много схем программаторов в инете и шароварных программ для них, можно самому спаять. Среда разработки MPLAB. Можно найти на www.microchip.ru или com. Там же много схем и документации по применению. MPLAB позволяет писать на си и на асме. Можно вести отладку программ с помощью симулятора.
  9. А попробуй создать проект на дискете или на съёмном USB диске, вдруг получиться. И не надо заумных путей со многими вложенностями.
  10. always @(posedge CLK) if(RESET) DATA=0; else DATA=DATA+1; Вот так по моему делаеться синхронный RESET
  11. //exemplar attribute U0 INIT_00 0101010101010101010000000000000000000000000000000000000000000000 //exemplar attribute U0 INIT_01 0100000000000000000000010101010101010101010000000000000000000001 //exemplar attribute U0 INIT_02 0000000101010101010101010100000000000000000000010101010101010101 //exemplar attribute U0 INIT_03 0101010101000000000000000000000101010101010101010100000000000000 //exemplar attribute U0 INIT_04 0000000000000020202020202020202020000000000000000000000101010101 //exemplar attribute U0 INIT_05 0808080808080808080000000000000000000040404040404040404040000000 //exemplar attribute U0 INIT_06 0200000000000000000000040404040404040404040000000000000000000008 //exemplar attribute U0 INIT_07 8000001010101010101010101000000000000000000000020202020202020202 Следующая конструкция может быть сгенерена из обычного бинарного файла. Поддерживаеться синтезатором Leonardo, но есть и для Symplify подобное. Всё это хозяйство можно подключть к проекту например так `include "<file_name>" Но можно и по другому, как больше нравиться, конструкции синтезируемы. Подключть также по `include. Единственное нужно написать прогу конвертации в такой формат. defparam RAMB4_S8_inst.INIT_00 = 256'h0000000000000000000000000000000000000000000000000000000000000000; defparam RAMB4_S8_inst.INIT_01 = 256'h0000000000000000000000000000000000000000000000000000000000000000; defparam RAMB4_S8_inst.INIT_02 = 256'h0000000000000000000000000000000000000000000000000000000000000000; defparam RAMB4_S8_inst.INIT_03 = 256'h0000000000000000000000000000000000000000000000000000000000000000; defparam RAMB4_S8_inst.INIT_04 = 256'h0000000000000000000000000000000000000000000000000000000000000000; defparam RAMB4_S8_inst.INIT_05 = 256'h0000000000000000000000000000000000000000000000000000000000000000; defparam RAMB4_S8_inst.INIT_06 = 256'h0000000000000000000000000000000000000000000000000000000000000000; defparam RAMB4_S8_inst.INIT_07 = 256'h0000000000000000000000000000000000000000000000000000000000000000; defparam RAMB4_S8_inst.INIT_08 = 256'h0000000000000000000000000000000000000000000000000000000000000000; defparam RAMB4_S8_inst.INIT_09 = 256'h0000000000000000000000000000000000000000000000000000000000000000; defparam RAMB4_S8_inst.INIT_0A = 256'h0000000000000000000000000000000000000000000000000000000000000000; defparam RAMB4_S8_inst.INIT_0B = 256'h0000000000000000000000000000000000000000000000000000000000000000; defparam RAMB4_S8_inst.INIT_0C = 256'h0000000000000000000000000000000000000000000000000000000000000000; defparam RAMB4_S8_inst.INIT_0D = 256'h0000000000000000000000000000000000000000000000000000000000000000; defparam RAMB4_S8_inst.INIT_0E = 256'h0000000000000000000000000000000000000000000000000000000000000000; defparam RAMB4_S8_inst.INIT_0F = 256'h0000000000000000000000000000000000000000000000000000000000000000;
  12. А зачем симулить inout. Можно создать модуль с шиной input и с шиной output, прекрасно отсимулить , а в вышестоящем модуле по иерархии объединить их в inout. Единственное, что нужно, это шину output сделать с третьим состоянием. Типа такого output [7:0] bus; reg [7:0] data; reg dir; assign bus=(dir)?data:8'hzz;
  13. А можно и так output [8:1] led; assign led[8:1] = 8'd1; Если конечно нет какого-то тайного смысла в регистре r
  14. Может ты в проекте FPGA Start-Up Clock указал не тот, на линиий CCLK частота есть? А может частоту слишком большую поставил конфигурирования.
×
×
  • Создать...