Перейти к содержанию
    

MODELSIM (сетка КУРСОРА)

Как в WAVE моделсима сделать так, чтобы значения курсоров (и разницы между курсорами) представлялись не в пикосекундах (как по умолчанию) на в другим единицах, например в наносекундах и т.д.

 

Какую команду надо закатать? А то в доках че–то не нашел...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Вообще пикосекунды используются по умолчанию в ModelSim 5.6 и ниже (насколько я знаю). По крайней мере в 5.7 уже наны.

 

Как сделать наносекунды если по умолчанию только пики - просто умножь (можно в уме, можно на калькуляторе) длительность моделирования на 1000 и получишь требуемый результат.

 

Можешь еще попробовать поменять свойства во вкладке ...Simulate/Simulate Options Там поле - Default Run можно задавать.

Но ModelSim - вещь глючная, настройки могут не сохраниться при повтроном вхождении...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Вообще пикосекунды используются по умолчанию в ModelSim 5.6 и ниже (насколько я знаю). По крайней мере в 5.7 уже наны.

 

Как сделать наносекунды если по умолчанию только пики - просто умножь (можно в уме, можно на калькуляторе) длительность моделирования на 1000 и получишь требуемый результат.

 

Можешь еще попробовать поменять свойства во вкладке ...Simulate/Simulate Options Там поле - Default Run можно задавать.

Но ModelSim - вещь глючная, настройки могут не сохраниться при повтроном вхождении...

Не думаю что с этим связана версия моделсима.

Как я понял это зависит от дискреты моделирования которая задается директивой timescale или иным образом.

 

Вариант с умножением пикосекунд в уме к сожелению не удобен.

 

Складывается впчеатление, что эту установку не изменить.

 

Все что можно поменять из менюшек, можно сделать с использованием скриптов.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

в ModelSim 5.8 добавлено новое окно View Memory.

 

Правда как просмотреть содержимое папяти я так и непонял. Эксперименты проводил с помощю стандартного комопнента Xilinx RAM.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Вообще пикосекунды используются по умолчанию в ModelSim 5.6 и ниже (насколько я знаю).  По крайней мере в  5.7 уже наны.

 

Как сделать наносекунды если по умолчанию только пики - просто умножь (можно в уме, можно на калькуляторе) длительность моделирования на 1000 и получишь требуемый результат.

 

Можешь еще попробовать поменять свойства во вкладке ...Simulate/Simulate Options Там поле - Default Run можно задавать.

Но ModelSim - вещь глючная, настройки могут не сохраниться при повтроном вхождении...

 

Да, вещь глюковата в части настроек, но их сохранять можно, ручками. Подсказали недавно.

В директории ..\tcl\vsim в файле pref.tcl в конец копируете Ваши настройки, которые нужно предварительно сохранить в Ваш *.tcl. :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Как в WAVE моделсима сделать так, чтобы значения курсоров (и разницы между курсорами) представлялись не в пикосекундах (как по умолчанию) на в другим единицах, например в наносекундах и т.д.

 

Какую команду надо закатать? А то в доках че–то не нашел...

Вот пожалуйста в наносекундах:

vsim -t ns project

А по-поводу default, то можно подредактировать m o d e l s i m.i n i

Там есть такой абзац:

[vsim]

; Simulator resolution

; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100.

Resolution = ps

Вот и меняйте на то, что вздумается

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Работал на многих версиях ModelSim. Сейчас моделирую на 6.0. Ничего толком ни плохого и хорошего сказать по поводу отличий между 5.8 и 6.0 сказать не могу. Единственное на 5.8. при компиляции больших проектов лично у меня проявлялся один глюк - после компиляции проекта и попытки запустить его на моделирование оболочка вылетала с каким-то "невтему" сообщением об ошибке. После перезапуска модель запускалась нормально. В 6-ке пока вроде такого глюка не наблюдалось.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

То что здесь называют значением курсора (дальше будем называть разрешением), является шагом симулятора и имеет, кроме явно видимого ещё то свойство, что неоправдано малое значение разрешения будет увеличивать общее время симуляции.

Существует три типа задания зтого параметра:

1. в файле modelsim.ini (по умолчанию),

2. директивой `timescale 1 ns/100 ps (2-ой параметр),

3. через опцию -t команды vsim (обычно в .do файле).

Третий тип задания имеет самый высокий приоритет, а в отношении второго нужно отметить, что в проекте может быть несколько директив `timescale, но действовать будет минимальное значение разрешения.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...