Перейти к содержанию
    

Деление двух целых чисел

Есть задача в проекте получить результат деления двух целых чисел - 24бит / 8 бит = 24бит результат. Пока использую корку от xilicoregen. В проекте на Spartan3 она занимает 400 slice. Хотелось бы уменьшить ее объем, т.к. в моей задаче не нужно большое быстродействие, и можно например использовать последовательные алгоритмы вычислений. Знает ли кто-нибудь как лучше это сделать?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Есть задача в проекте получить результат деления двух целых чисел - 24бит / 8 бит = 24бит результат. Пока использую корку от xilicoregen. В проекте на Spartan3 она занимает 400 slice. Хотелось бы уменьшить ее объем, т.к. в моей задаче не нужно большое быстродействие, и можно например использовать последовательные алгоритмы вычислений. Знает ли кто-нибудь как лучше это сделать?

Ручками последовательно :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

хотя можно и взять деление от альдековского корегенратора и переписать или либу готовую поискать или на опенкорес сходить или ......

 

:)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

хотя можно и взять деление от альдековского корегенратора и переписать или либу готовую поискать или на опенкорес сходить или ......

 

:)

Уже пробовал.

аналогичный делитель от альдековского корегена занимает в 2-3 раза больше места, чем xilinx-овый, применительно к xilinx spartan 3.

C opencores та же история.

Может есть еще где-нибудь, или кто-то писал уже ???

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

хотя можно и взять деление от альдековского корегенратора и переписать или либу готовую поискать или на опенкорес сходить или ......

 

:)

Уже пробовал.

аналогичный делитель от альдековского корегена занимает в 2-3 раза больше места, чем xilinx-овый, применительно к xilinx spartan 3.

C opencores та же история.

Может есть еще где-нибудь, или кто-то писал уже ???

вы невинимательны

я написал

тя можно и взять деление от альдековского корегенратора и переписать

вы же только взяли :)

естественно что они весят больше, там же однотактный делитель

да и давно уже можно было самому написать. нужен то вычитатель + логика

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Переписать сложновато будет, там как-никак при 24бит/8бит 180 строк кода

Но ведь уже кто-то где-то это сделал.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

откуда там 180 строк кода?

Я делал недавно нечто подобное.

 

http://forum.electronix.ru/index.php?showt...%E5%F1%EA%E8%E9

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

div.rarПосмотрел. Мне нужно минимальное быстродействие и минимальный обьем.

А где бы взять эту книгу? (М.А.Карцев, В.А.Брик "Вычислительные системы и синхронная арифметика".)

А 180 строк кода дает делитель, который делает активхдл при параметрах 24бит/8бит = 24бит.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Пример последовательного делителя целых чисел (Example of serial divider model ), и всяко другое.

http://www.cs.umbc.edu/help/VHDL/samples/samples.html

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...