Перейти к содержанию
    

kosek8

Новичок
  • Постов

    3
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный
  1. Спасибо, svedach и особенно Lutovid :a14: , сделал по инструкции и заработало. iosifk, посмотрел я вэбинары, там все рассматривается в общем, и как правило, у лектора все работает с первого раза :smile3046: , что то все же почерпнул, спасибо за совет. Реализовал управление мультиплексором, связь с АЦП по SPI, обработку, накопление данных в буфере, передачу буфера в Microblaze и отправку его в UDP. Однако, буфер кушает много LUT и FF блоков. На моей отладочной плате есть DDR3 SDRAM и я хотел бы её задействовать для новой функции и в случее серьезной нехватки ресурсов перенести в RAM буфер АЦП. Как один раз сложить туда 0.5 МБайта данных я представляю(нужно знать адреса и через XIo_Out32), а вот как извлекать данные не с помощью Microblaze, а напрямую (т.к. при тактовой частоте в 100МГц требуется данные из ДДР к выводам платы выдавать с частотой 20 МГц, то-есть за 5 тактов. Будет жесткий диапазон адресов - закрепленный за одним выводом.) даже не имею представления. 7 Series FPGAs Memory Interface Solutions User Guide на блок управления оперативой я нашел, но он объемный и на первый взгляд мне не все необходимо, но читаю пока его. Помогите, пожалуйста, советом по реализации. Например, стоит ли ещё и с FIFO разбираться или реально реализовать задачу на каком то быстром алгоритме?
  2. C DDR это задача максимум. Для начала хочу понять процесс привязывания ядра. Как? Я не знаю что делаю не так!
  3. Здраствуйте. Прошу совета. VHDL умею, с Microblaze работаю впервые, C относительно понимаю. Учился ПЛИС в среде Xilinx 14.2, а работать пришлось в Vivado 2016.2 где все по другому и много нового. Кристалл Artix-7 от trenz-electronic, xc7a35t(TE0712-02-35-2l). Board TE0703-05. Ядро пишу и симулирую в Aldec Active-HDL, собираю в IP и добавляю в проект в Vivado 2016.2, код Microblaze смотрю в SDK 2016.2. Имелся проект принимающий через Ethernet(UDP) Microblaze-ом данные для конфигурирования 2 кастомных IP по шине AXI. Создатель недоступен, спросить кроме вас не у кого. Мне требуется создать ещё одно кастом IP c SPI и передачей массива 1024х32bit в DDR3, а Microblaze-ом из DDR3 вынимать данные и медленно выплевывать их в UDP. На данном этапе, я сделал и отсимулировал ядро с SPI и внутренним буффером на ресурсах ПЛИС, сейчас требуется привязать этот модуль к Microblaze. Тут и начались проблемы. С горем пополам, написал на VHDL AXI <-> Bus2IP/IP2bus, шина S_AXI ядра автоматически совместилась с шиной M_AXI блока microblaze_0_AXI_periph, во вкладке Address Editor в Vivado появился мой модуль с присвоенным ему диапазоном адресов. Далее я синтезирую, имплементирую, создаю битстрим. Нажимаю File -> Export -> Export Hardware , Потом File -> Launck SDK , запускается SDK, захожу в xparameters.h и там нет BASEADDR/HIGHADDR для моего модуля, а адреса остальных не совпадают с адресами из Address Editor в Vivado. Где то в этом абзаце я допускаю ошибку. Объясните, пожалуйста, как передавать проект в SDK? Или все адреса нужно вписывать ручками? Как привязать DDR3 к Microblaze вообще не представляю. Но главное заставить работать хотя бы то что есть. Мой модуль main_dac_0.
×
×
  • Создать...