Перейти к содержанию
    

$fscanf моделсим 6.0а

столкнулся с непонятной проблемой:

 

на мое выражение в verilog hdl:

 

$fscanf(file_in, "%d, %d, %d, %d, %d", a,b,c,d,e);

 

моделсим сказал:

 

# ** Error: (vsim-PLI-3691) test_tasks.vt(215): Expected a system task, not a system function '$fscanf'.

 

Это значит что эта процедура не поддержана совсем? ;)

И какие есть пути мне разгрести форматированный файл???

 

про извращения я вкурсе... ;)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

сорьки...

всего навсего надо было написать

code = $fscanf(file_in, "%d, %d, %d, %d, %d", a,b,c,d,e);

 

иначе эту функцию не распознают...

Не Ц это.. ой не Ц ;)))

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...