Перейти к содержанию
    

XBG432

Свой
  • Постов

    49
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный

Информация о XBG432

  • Звание
    Участник
    Участник

Контакты

  • ICQ
    Array
  1. Так как раз в этом задача и стоит. Удивляет отсутствие автоматизации мониторинга сигналов в подобных ситуациях со стороны testbench.
  2. спасибо, в VHDL-2008 такая возможность есть, но -- p.570 VHDL-87,-93, and -2002 These versions of VHDL do not allow external names -- похоже, что это не поддерживает Xilinx ISE:-(
  3. Интуитивно понимаю, что где-то так и должно быть. Но, то ли я не могу разобраться с иерархией компонент относительно TestBench, то ли не понимаю, возможен такой доступ в принципе или нет?
  4. Использую Xilinx ISE 14.5. Описываю на VHDL иерархическую структуру устройства. Получаю Post - Place & Route модель, пишу для нее TestBench на VHDL. Каким образом можно получить значение сигнала, который прописан в одной из вложенных компонент устройства? Значение сигнала без проблем наблюдается на диаграмме в ISim.
  5. Всем доброго времени суток! Народ, подскажите, кто работал с Intel STRATAFLASH(J3)? Проектирую систему на SPARTAN3E, которая должна сбрасыват лог во флэш. Временные параметры все вроде соблюдены, согласно интеловой документации, но запись не осушествляется. Кто имел дело с данной проблемой и может подсказать в чем дело? Заранее спасибо.
  6. Это понятно, но к технологии привязка не нужна. Необходимо увидеть счетчик в RTL-примитивах, пуст это будут многовходовые вентили, но не до уровня сумматора. Все просто ... signal count: std_logic_vector(N-1 downto 0); ... Counter:process(CLK,RST) begin if RST='1' then count <= (others=>'0'); elsif CLK'event and CLK='1' then count <= count +1; end if; end process;
  7. Имеется описание двоичного счетчика на VHDL (vector <= vector +1). После синтеза в RTL View вижу триггеры и сумматор. Как развернуть вид сумматора до вентилей, кто может подсказать? (ISE 9.2.04i)
  8. Видел, спасибо, но нужно самому делать в составе цифрового комплекса управления силовыми автоматами. Да и габариты тоже критичны.
  9. Всем доброго времени суток! Кто может подсказать схему определения правильности подключенных трех фаз 220В (проверка на перепутывание фаз)? На выходе нужна цифра 3.3В
  10. Сижу с Mozilla FireFox - "Мой помоЩник" совсем глючит: 8801 непрочитанных сообщений, 5 ответов на мои посты (жму на Просмотр и получаю): Обнаружена ошибка: Ничего не найдено по Вашему запросу. Расширьте критерии поиска и попробуйте снова. По факту - ответов нет. По мере прохождения по ссылкам открывается все больше и больше окон, что через 5 кликов уже напрягает
  11. Постараюсь объяснить на пальцах :cheers: Для обнаружения однократной ошибки минимальное кодовое расстояние должно быть не меньше 2. Пример такого кода (код с проверочным битом на четность): 000-011-101-110. При 1х ошибке получаем некодовое слово (010). Можем только сказать, что это некодовое слово, исправить нельзя, т.к. неясно в каком кодовом слове произошла 1х ошибка: в 000(010) или в 011(010). Декодирование кодов, подобых этому, осуществляется по методу максимального правдоподобия. Т.е., если мин. расстояние у кода 3, то это означает, что он позволяет корректировать 1х ошибки и обнаруживать все 2х ошибки. В случае возникновения 3х ошибки есть вероятность получить кодовое слово, т.е. ошибка при этом замаскируется. Код Хемминга имеет как раз мин. расстояние 3. Т.е. для обнаружения 2х ошибок добавлять ничего не надо. Есть еще расширенный код Хэмминга, в котором к каждому слову добавляется дополнительный проверочный бит равный сумме всех информационных и остальных проверочных (бит четности всего пакета). Мин. расстояние такого кода уже 4: корректируем 1х, обнаруживаем 2х и все ошибки нечетной кратности. 2х нельзя корректировать, т.к. такие слова лежат как раз "по середине" между кодовыми словами. А Хэмминг с 4 проверочными битами может быть использован до 11 информационных символов. Смотря для чего и где применять...
  12. Попробуй почитать классику - на серваке в разделе pub/DOC/Books лежит аж три версии книги Р.Блэйхута "Теория и практика кодов контролирующих ошибки". А по поводу "гугла" - абсолютно согласен, что там "дряни" куча, но можешь глянуть сюда: http://direct.xilinx.com/bvdocs/appnotes/xapp383.pdf http://people.bu.edu/ska/project3.pdf Если требуется исправлять более одной ошибки, это уже не Хэмминг:) например код Рида-Соломона: http://sourceforge.net/projects/rstk/ http://www.4i2i.com/reed_solomon_ip_cores.htm
  13. Абстрактный пример: Предположим, что есть библиотека LIB, в ней несколько компонент, топ-левел компонента и тетстбенч к ней. Создаем пакет GLOBAL в этой библиотеке и описываем декларативную его часть и тело: ------------------------------------------------ LIBRARY ieee; USE ieee.std_logic_1164.all; PACKAGE GLOBAL IS signal GSignal: std_logic; END GLOBAL; PACKAGE BODY GLOBAL IS END GLOBAL; ------------------------------------------------ GSignal и будет глобальным сигналом. Теперь осталось подключить пакет в исходниках нужных компонет: ------------------------------------------------ LIBRARY LIB; USE LIB.GLOBAL.all; ------------------------------------------------ И вперед! Сигналу можно присваивать значения в одних компонентах и передавать (читать) значение в других. Проверено на FPGA Advantage 6.2 + Modelsim SE PLUS 5.7f
  14. Если надо без добавления портов, то можно сделать package в текущей библиотеке компонентов, в нем определить "глобальные" сигналы, которые будут доступны из каждой компоненты, в том числе и из тестбенча. Пример нужен?
  15. Если с английским все в ладах - попробуй мультимедийный курс "Evita" (VHDL-Tutorial) от Aldec. http://www.aldec.com/Downloads/
×
×
  • Создать...