Перейти к содержанию
    

AlphaMil

Участник
  • Постов

    135
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный

Информация о AlphaMil

  • Звание
    Частый гость
    Частый гость
  • День рождения 03.05.1982

Информация

  • Город
    Array
  1. Но ведь выходом PCIE ядра является интерфейс AXI (как на прием, так и на передачу), я не подключаю ядро к процессору (синтезированному, или аппаратному), я сделал автомат состояний, который приняв транзакцию делает парсинг и, например, пишет или читает данные в/из блочной памяти. Это и есть аналог DMA (если я правильно понимаю). Так вот даже на этом этапе количество двойных слов ограничено одним. Т.е. хост не может даже запросить у меня более чем одно двойное слово. А не может запросить - как ему просто отдать больше, тупо посылкой на запись в память, инициированной с железки?
  2. Я может не совсем правильно понимаю, но для DMA нужен процессор, я же подключаю модули приема-передачи из примера непосредственно к блочной памяти (тестово, конечно), т.е. никакого процессора нет. Заполнение полей смотрю непосредственно на шине AXI. Или DMA-корка как-то особо настраивает всю связку (контроллер PCIE<->хост). Если не трудно, скажите номер ref design (или ссылку на него). Кстати платка KC705. Спасибо.
  3. Использую сгенерированное ядро PCIE от Xilinx. Для приема и отправки данных используются модули из примера для этого ядра. С помощью ChipScope смотрю содержимое поля Lenght в TLP (на шине AXI). Оно всегда равно 0x001. Перерыл инет, кто говорит, что это ограничение самого ядра, кто - на шине висит устройство, которое может обмениваться только по одному двойному слову (у меня - точно не висит такого устройства). Может уже кто сталкивался и решил эту проблему? Может порекомендуете другое какое ядра (ПЛИС Kintex7, использую аппаратный блок).
  4. У меня в проекте, да и на отладочной плате один клок 100МГц. И этого достаточно... Из него можно получить все частоты, которые мне нужны. Возможно Вы меня не поняли - клоковый вход корки ДОЛЖЕН быть подключен к клоковому пину, там даже IBUFG сразу стоит... По этому пришлось менять код ядра, т.е. добавлять внутрь цепи сброса.
  5. А зачем тогда секция initial??? Получается сброс нужно назначать на один из пинов и делать внешним. Или как я делать - корректировать файлы ядра что бы добавить туда код для сброса. Т.к. вход ядра сразу подключается на клоковый пин ПЛИС и выход хоть какого клока есть только после сбрасываемого PLL!!!! Так как делать в этом случае, если хилые сами этого не сделали? И даже в UG об этом не написали????
  6. SYSCK это скорее всего частота обмена Вашей логики с ядром (т.е. частота которую Вы можете использовать в своем проекте). Она может выбираться исходя из Ваших требований.
  7. Почему не хватает??? Он мирно находится в неактивном состоянии, а я считаю что после включения питания контроллер памяти находится в состоянии как после сброса (т.е. исходном)... И дело там не в том что сигнал сброшен, просто они ошиблись в схемотехнике и требуют дополнительного сброса для правильного запуска тактирующих цепей.
  8. Полностью согласен с Wic. Мне что бы разобраться в ошибке именно ХИЛЫХ, а не моей пришлось потратить кучу времени и перерыть много литературы. Почему информации по этой проблеме нет в ug388????
  9. Возможно заявляется аппаратное ядро??? Без крепостного ядра запустить возможно и можно будет, но например ч меньшей тактовой частотой. Плюс расход ресурсов плис.
  10. Проблема решена. Все дело оказалось именно в том, что сигнал сброса нельзя кидать на 0. Через счетчик сделал сброс и все заработало.
  11. Проверил, все сигналы такие, какие должны быть. Проблема в сигнале сброса всего ядра. Читаю AR#35976, там эта проблема описывается. Если в проекте хилых сброс тоже сразу на 0 замкнуть - не работает.
  12. Извините, но что значит правильный? Контроллер сбросить надо после включения? У меня сразу сброс контроллера на 0 подключен.
  13. Попробовал залить во влешку и перезапустить с выключением питания, не спасло. Вообще плата рабочая - дефолтный проект идет, а память там используется.
  14. Т.е, alexr22b, надо заливать во флешку и тогда при включении питания должно работать??? А что хилые по этому поводу говорят? Информации нет??
  15. Виноват, конечно 32'hFFFFFFFF. Примерне проверял. Понадеялся на симуляцию. Завтра обязательно проверю. Сигнал We не забыл - при симуляции все работает отлично.
×
×
  • Создать...