Перейти к содержанию
    

Кнкн

Свой
  • Постов

    691
  • Зарегистрирован

  • Посещение

  • Победитель дней

    1

Кнкн стал победителем дня 1 декабря 2022

Кнкн имел наиболее популярный контент!

Репутация

2 Обычный

Информация о Кнкн

  • Звание
    Знающий
    Знающий

Контакты

  • ICQ
    Array

Посетители профиля

5 043 просмотра профиля
  1. Бэкап

    Посоветуйте, пожалуйста, программу для бэкапа под линукс(Centos 8)
  2. Скажите, пожалуйста, имеет ли смысл использование Portable Stimulus (PSS) ?
  3. Пусть, для конкретности, трансивер Arria10gx (или Cyclone10gx) нужно подключить к модулю SFP+. В каком порядке следует подавать питание на плис и на модуль? Когда конфигурировать плис? В an692 читаем : "Intel Cyclone 10 GX, Intel Arria 10, and Intel Stratix 10 L-tile and H-tile device transceiver pins do not support ‘Hot-Socketing.’ Fully configure the transceiver block before driving or having any activity on the Intel Cyclone 10 GX and Intel Arria 10 device transceiver pins." На форуме Intel было обсуждение аналогичного вопроса (https://community.intel.com/t5/Programmable-Devices/Arria-10-FPGA-Unpowered-State/m-p/1245751) Консультант ответил : "The Arria® 10 device dedicated transceiver pins are not subject to the same hot-socketing limitations of the general purpose I/O pins. It is OK to drive the dedicated transceiver pins during power-up and power-down sequencing of Arria 10 devices." На вопрос : "Is it possible to correlate above statement with statement in an692?" он ответил : "Yes. The first statement described the process during power-up and power-down while the second statement described about configuring for unpowered FPGA." Непонятно, что такое "configuring for unpowered FPGA". На плате "Cyclone® 10 GX Development Kit Board" питание 3.3V на SFP+ подается одновременно с подачей питания ядра плис 0.9V. Может быть, знающие люди разЪяснят ситуацию? Спасибо.
  4. Лучше всего от внешнего генератора с управляемой задержкой, например можно посмотреть lmk04832
  5. /upload/MODELING/ti Не уверен, что самая новая. Зарегистрироваться можно через временный email.
  6. Бывают конденсаторы с контролируемым ESR. Вот, например LLR185C70G105ME03
  7. Может быть это поможет https://github.com/TeledyneLeCroy/SignalIntegrity есть книга автора, доступная в эл. виде
  8. При моделировании получается некий сдвиг фаз. Как-то он выбирается ?
  9. Моделируется PLL operation_mode "normal" Частоты опорного и выходного сигнала равны. Скажите, пожалуйста, какая должно быть разность фаз между ними на модели?
  10. Вот вышла книга того же автора Uwe Meyer-Baese Embedded Microprocessor System Design using FPGAs Может появится где ?
×
×
  • Создать...