Перейти к содержанию
    

gin

Свой
  • Постов

    238
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный

Информация о gin

  • Звание
    Местный
    Местный

Информация

  • Город
    Array

Посетители профиля

3 967 просмотров профиля
  1. Мне кажется, что человеку еще рано за языки браться пока нет понимания цифровой схемотехники. Ему нужно начать с самых базовых вещей. Понять что такое комбинационная логика, триггеры (RS, D); мультиплексоры, счетчики, памяти. Зачем нужен тактовый сигнал. Без этой базы вряд ли что то дельное получится. Пока видно, что человек плавает в самых простых вещах. Найти какой-нибудь хороший институтский курс по цифровой схемотехнике.
  2. Можно. Прошивка хранится в памяти EPCS. Если она не пострадала, то меняйте только FPGA
  3. Именно TCP реализовывать на FPGA - это довольно трудоемко и следовательно затратно по времени. На мой взгляд. Если ограничиться UDP, тогда гораздо проще и быстрее. У меня есть готовые решения для 100 Мбит и 1 Гбит IP/UDP. Проверено в железе на Kintex7
  4. Да, память лучше ставить. А то вдруг окажется, что на входе чересстрочное видео. Значит часть кадра придется буферизировать
  5. вот пример ошибки, которую я понять не могу Net 'gen_tx_fast_udp_buf[0].tx_fast_udp_buf/bufring128_main_inst/n1' has multiple drivers (..\libs\bufring\test_tdpr.vhd:19) Ок, смотрю на файл и 19 строку, на которую ругается Efinity library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity test_tdpr is generic ( DATA_WIDTH : natural := 1; ADDR_DEPTH : natural := 1024 ); port ( clk_a : in std_logic; clk_b : in std_logic; addr_a : in natural range 0 to ADDR_DEPTH - 1; addr_b : in natural range 0 to ADDR_DEPTH - 1; data_a : in std_logic_vector((DATA_WIDTH-1) downto 0); data_b : in std_logic_vector((DATA_WIDTH-1) downto 0); we_a : in std_logic := '1'; we_b : in std_logic := '1'; q_a : out std_logic_vector((DATA_WIDTH -1) downto 0); q_b : out std_logic_vector((DATA_WIDTH -1) downto 0) ); end test_tdpr; 19 строка - это data_b : in std_logic_vector((DATA_WIDTH-1) downto 0); Далее смотрю, где и как этот модуль подключается test_tdpr_ctrl_bit : entity work.test_tdpr generic map ( DATA_WIDTH => 1, ADDR_DEPTH => FIFO_WORD_DEPTH ) port map ( clk_a => clk_wr, clk_b => clk_rd, addr_a => tdpr_a_addr, addr_b => tdpr_b_addr, data_a => mem_bit_ctrl_wr, data_b => (others => '0'), we_a => tdpr_a_we, we_b => tdpr_b_we, q_a => open, q_b => bit_ctrl_rd ); data_b => (others => '0'), Какой может быть мультипл драйверс, когда там порт тупо посажен на ноль! И самое забавное, в другом проекте эта же часть кода нормально собирается. Вот как то так 😢 А какая там последняя версия, и как к ftp доступ получить?
  6. Коллеги, добрый вечер! Подскажите пожалуйста, какая версия Efinity более-менее стабильная? У меня щас стоит 2021.2 (с патчем), и мне кажется, она меня скоро доканает)
  7. Спасибо! Ну я и имел ввиду, что доп софт для ПЛИС Воронежа) Как понимаю, для получения этого софта нужно связываться непосредственно с самим Воронежем?
  8. А для аналога Cyclone3 какую версию Квартуса нужно использовать? Как я понимаю самая последняя - это 13.1, в который была поддержка Циклонов? И нужен ли еще какой дополнительный софт?
  9. да, спасибо, тоже этот каталог скачал. Но в любом случае, очень скудная инфа у них :(
  10. Коллеги, добрый день! Подскажите, где и как посмотреть хоть какую-нить инфу по воронежским ПЛИС? При попытке посмотреть на официальном сайте, он перенаправляет на форму регистрации. При попытке регистрации ничего не происходит, никаких писем не приходит. Как хоть узнать, что у них за микросхемы и что они умеют? Интересен аналог Cyclone3
  11. Проверьте, нет ли непропая на каких-либо пинах. В своё время была проблема с нестабильной работай трансиверов у Ксайлинкса. Оказалось, что один их клоков (который отвечает за работу внутренней FSM) болтался в воздухе
  12. Спам смотрел - нету. Пытался регистрировать на gmail, потом на yandex. Может и вправду Интелу они не нравятся - хочет корпоративный адрес. Хотя раньше Альтеру я на gmail регистрировал. Ваши ссылки работаю, всё качается. Спасибо! И если не очень трудно, не могли бы вы скинуть ссылку на StratixV GX для Quartus 14.0 ? https://fpgasoftware.intel.com/14.0/?edition=subscription&platform=windows У меня при попытке качать от туда требует регистрацию...
  13. Всем добрый день! Возникла неожиданная проблема у меня. Не могу скачать библиотеки с сайта Интела для Квартуса. Точнее проблема даже не в самом скачивании, а в невозможности зарегистрироваться на их сайте. Когда то был аккаунт (тогда еще на Альтере), но он потерялся. Решил зарегистрировать новый - заполняю форму регистрации, указываю e-mail, открывается страничка с сообщением об отправке письма на указанный адрес... И тишина, ничего не приходит. Кто нибудь сталкивался с такой проблемой? Теперь у Интела какие то особые правила по регистрации аккаунтов, или же я просто чего то не понимаю?
  14. Если бы было сформулировано и выложено хотя бы простенькое ТЗ, то исполнителей найти было бы несколько проще.
×
×
  • Создать...