Перейти к содержанию
    

hitch

Участник
  • Постов

    29
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный

Информация о hitch

  • Звание
    Участник
    Участник

Посетители профиля

1 571 просмотр профиля
  1. library ieee; use ieee.std_logic_1164.all; entity multiplexer is port( sel : in std_logic; in1 : in std_logic; in2 : in std_logic; out1 : out std_logic; out2 : out std_logic; out3 : out std_logic; out4 : out std_logic; out5 : out std_logic ); end multiplexer; architecture rtl of multiplexer is function mux(sel, in1, in2 : std_logic) return std_logic is type pattern is array (0 to 3) of std_logic; type truth_table_t is array (natural range <>) of pattern; constant truth_table : truth_table_t := -- sel in1 in2 out (('0', '0', '0', '0'), ('0', '0', '1', '0'), ('0', '1', '0', '1'), ('0', '1', '1', '1'), ('1', '0', '0', '0'), ('1', '0', '1', '1'), ('1', '1', '0', '0'), ('1', '1', '1', '1')); variable m : std_logic; begin for i in truth_table'range loop --if truth_table(i)(0 to 2) = (sel & in1 & in2) then if (sel & in1 & in2) = truth_table(i)(0 to 2) then m := truth_table(i)(3); end if; end loop; return m; end mux; begin mux1: process(sel, in1, in2) begin case sel is when '0' => out1 <= in1; -- Вариант 1 when others => out1 <= in2; -- Вариант 2 --when '1' => out1 <= in2; --when others => null; end case; end process; mux2: process(sel, in1, in2) begin if sel = '0' then out2 <= in1; -- Вариант 1 else out2 <= in2; -- Вариант 2 --elsif sel = '1' then --out2 <= in2; --else --out2 <= in2; end if; end process; mux3: with sel select out3 <= in1 when '0', -- Вариант 1 in2 when others; -- Вариант 2 --in2 when '1', --unaffected when others; mux4: out4 <= in1 when sel = '0' else -- Вариант 1 in2; -- Вариант 2 --in2 when sel = '1' else --unaffected; mux5: out5 <= mux(sel, in1, in2); end architecture; Авторский эксперимент с различными описаниями мультиплексора. Синтезируется в один ЛЭ, хотя выходов 5. Следовательно описания полностью идентичны.
  2. Подтверждаю! Без спец. ПО вообще не заработает прошивка из Квартуса. Удивлён... Думал сначала, что у вас прошивка после спец. ПО не шьётся... Вот выдержка из документации: 3 Загрузка конфигурационных данных из JAM STAPL Загрузка конфигурационных данных в целевую ПЛИС по порту JTAG может быть осуществлена с помощью «Quartus II Jam Tools» (исполняемый файл quartus_jli), входящего в состав Quartus. (https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/an/an425.pdf)
  3. При создании проекта брал её, потом после закрытия Квартуса отредактировал указанный файл, а потом в Квартусе проверил, что стало вот как... ПЛИС напрямую даже не пробовал конфигурировать, только через ПЗУ. В программаторе Квартуса, чтобы он не ругался на неизвестное устройство выбирал EPF10K50SQ240 - длина регистра у них одинаковая. Вообще мне кажется я где-то читал, что эту ПЛИС можно напрямую прошить только через JAM-player, но никак через sof-файл. Попробуйте указать в настройках проекта формирование jam-файла и через плейер прошить, если конечно вариант через ПЗУ совсем не подходит.
  4. У меня Quartus II v9.0 SP2 и в списке тоже нет требуемой м/с EPF10K50EQC240-3. Однако я отредактировал файл qsf с настройками проекта в следующей строчке set_global_assignment -name DEVICE "EPF10K50EQC240-3" и САПР при компиляции был совсем не против сгенерить прошивку и для ХС6.
  5. pof-файл из квартуса 9.0 сразу готов к прошивке в РС1У.
  6. RISC-V

    - SCR1 система команд RV32I|E[MC] от компании Syntacore - Freedom E310 RV32(I/E)MC от компании SiFive, кроме того его под себя адаптировали Microsemi тут - E203 RV32IMAC от китайской компании Silicon Integrated - uRV RV32IMC от CERN - проект pulp от Цюрихского и Болонского гос. универов, но там возможно слишком много периферии для вас. Есть ещё более 10 открытых проектов, но они либо не 32 с компактным набором инструкций, либо не развиваются.
  7. RISC-V

    Иван Покровский наверное читает наш форум, раз выложил у себя на сайте основные материалы конференции. За это ему большое спасибо! :)
  8. RISC-V

    Scala (и библиотека Chisel) людям потребовалась на этапе создания полностью конфигурируемых моделей. После правки одного конфиг. файла можно получить как 32, так и 64 битный проц. Кроме того, на выходе не только синтезабельный верилог, но и высокоточная модель на Си, которая моделируется в разы быстрее. Конечно scala может сгенерировать код менее оптимальный, чем мы с вами напишем сразу на верилоге,. За это такой подход и ругают. Однако скорость разработки увеличилась в 10-100 раз. Даже в библиотеке корок Microsemi лежит ядро от Sifive, которое сгенерено из Скалы. Такой верилог в дальнейшем практичеки не возможно изменить под себя, но это требование к нему и не выставлялось
  9. RISC-V

    Как и обещали организаторы заморской конференции, они выложили в открытый доступ все материалы. Жаль, что наши же соотечественники стали брать деньги не только за участие в своём мероприятии, но и за материалы.
  10. RISC-V

    Спасибо! Из раздатки что-нибудь стоящее было? Реклама говорила, что будут ещё миландровцы и "все все все"... Такая реклама. Кстати, у нас ещё этим делом интересуются в бауманке, Микроне, НИИСИ РАН и НПО А, так что направление врят ли захиреет, как OpenRISC.
  11. RISC-V

    Кто был на мероприятии RISC V Developers Forum поделитесь пожалуйста впечатлениями, а ещё лучше материалами :) З.Ы. Информация для размышления... В конце ноября прошло другое знаковое событие, так его организаторы в течении близжайших недель предоставят все материалы совершенно бесплатно. З.З.Ы Хорошо, что унас подобный форум вообще состоялся. Спасибо организаторам!
  12. Судя по схеме у вас точно в цепочке одна микросхема и другой выбирать принудительно не надо. Возможно вы ошибочно выбрали не ту ПЗУ, например EPC2 вместо EPC4. Кроме того ошибка может быть при создании файла pof - в настройках проекта нужно проверить указанный тип ПЗУ. А вообще вот что про поддержку в программаторе квартуса готоворит производитель - так может быть лучше весь проект пределать в квартусе, а не конвертировать прошивку?
  13. Если прибор работает "на столе" и с небольшим разбросом температуры, то ХС1 уверенно конфигурируется на 20 МГц. На температуре могут быть нюансы. Там, где важна скорость инициализации можно и закрыть глаза на Д4 проведя дополнительные испытания. (Это практически дославный ответ-совет спеца из ВЗПП, к которому мы придерживаемся).
×
×
  • Создать...