Перейти к содержанию
    

YuryL

Свой
  • Постов

    87
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный

Информация о YuryL

  • Звание
    Частый гость
    Частый гость
  • День рождения 25.09.1964

Контакты

  • Сайт
    Array
  • ICQ
    Array

Информация

  • Город
    Array
  1. lcd TD035TTEA3

    lcd TD035TTEA3 не удается включить. Может кто-нибудь работал с ним, подскажет процедуру инициализации по SPI. Или поделится документацией на встроенный в LCD контроллер JBT6K78-AS(PI)
  2. Попробуйте Leonarda от MG. Он умеет читать edif. Записывать edif, vhdl, verilog. Имеет графический интерфейс для просмотра считанных файлов в графическом виде. Так же, есть возможность используя встроенные команды tcl (или через меню), редактировать иерархию, сохранять отдельные компоненты схемы.
  3. Обычно выбираем стратегию синтеза, метод оптимизации - по площади, по времени ... Задаем временные ограничения. В соответствии с этими исходными данными синтезатор выбирает подходящую архитектура модуля. Например, у синопсиса доступно 5 видов архитектуры умножителей. Multiplier Synthesis Implementationsa: csa Carry-save array synthesis model nbw Either a non-Booth (A_width+B_width ≤ 41) or a Booth Wallace-tree (A_width+B_width > 41) synthesis modelb wall Booth-recoded Wallace-tree synthesis model mcarch MC-inside-DW Wallace-tree DesignWare csmult MC-inside-DW flexible Booth Wallace DesignWare During synthesis, Design Compiler will select the appropriate architecture for your constraints. However, you may force Design Compiler to use one of the architectures described in this table.
  4. MIG, кроме контроллера памяти, создает тестбенч + добавляет в проект модель памяти ( смотри директорию sim).
  5. Кто-нибудь работал с HI-6110? Хотелось бы найти исходники примеров по применению. На исходники ссылаются в апликэйшин нот AN-520, AN-530, AN-540. Но где искать эти исходники не понятно.
  6. Я использовал dd utility для windows Инструкция и сама утилита http://www.xilinx.com/products/boards/ml50..._cf_reimage.pdf http://www.xilinx.com/products/boards/ml50...image_tools.zip затем в одной директории разместил утилиты, имедж диска, создал bat файл - mount -b -s -f //./PHYSICALDRIVEx /dev/hd0x dd if=c.img of=/dev/hd0x umount -s /dev/hd0x в этом файле четыре буквы х заменяем на реальный номер устройства (как и где его взять читаем в pdf выше по ссылке) стартуем bat файл.
  7. Работаю с 2005.3 HDL Designer (с родным редактором). В нем, как и в любом инструменте, встречаются глюки, но меня он устраивает.
  8. у ментора есть IDE, HDL Designer зовется, но блин, пусть менторовские програмеры поработают в нем хотя бы пару месяцев, быстро поймут что такое юзер френдли Работаю с fpga advantage 7.2 , вполне устраивает. Да, были у них проблемы с текстовым редактором, сейчас исправили, да и при желании HDL Designer позволяет поменять редактор. Плюсы - одно нажатие кнопки и проект можно моделировать в modelsim , vcs , cadence, или отправить проект на синтез или получить скрипт для синтеза. Можно получить красивые картанки для документации, если использовать графический ввод.
  9. Да, и ещё, ModelSim так и не научился несколько окон с wave разными открывать? Типа, считают нет необходимости? File->New->Window->Wave или view -new wave
  10. Ищу книгу Хвощ С.Т. Организация последовательных мультиплексных каналов автоматического управления : Машиностроение, Ленингр. отделение, 1989. -271с. Или другую литературу с описанием 588ВГ3, ВГ6.
  11. Есть замечательная програмка VirtualDub для преобразования видео форматов включая ресемплинг по различным алгоритмам. Кроме всего прочего это открытый проект, можно посмотреть исходники. http://www.virtualdub.org/index
  12. case более компактный и быстрый, т.к. у него нет приоритетов межде условиями
  13. Может кто поделится информацией
×
×
  • Создать...