Перейти к содержанию
    

neptune-1

Свой
  • Постов

    87
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный

Информация о neptune-1

  • Звание
    Частый гость
    Частый гость
  1. По возможности залейте все .gz для tcb013ghp_200a и tcb013ghplvt_200a
  2. odule testbench; reg [7:0] a; reg [7:0] b; reg oe_n; reg [9:0] addr; wire [7:0] da; wire [7:0] db; initial begin oe_n = 1'b0; a = 8'bz; b = 8'b1; addr = 10'h2; fork #50 addr = 10'b0; #50 b = 8'bz; #50 a = 8'b0; join end assign da = a; assign db = b; ap6 AP6(.da(da), .db(db),.oe_n(oe_n),.adr_arm(addr)); endmodule
  3. В 2007.2 Я не смог нарисовать даже очень простую схему, DxDesigner вываливался постоянно с ошибками. Для меня получается что для Linux 2007.5 это рай, потому что смог нарисовать и развести простенькую схему
  4. У себя такого не наблюдал. Все пины отображаются нормально. А вот LM также периодически падает по Segmentation Fault
  5. А не пробовали поставить еще один ключик -L C:\Modeltech_6.1d\win32\vsim -L {C:/Modeltech_6.1d/Xilinx/unisims_ver} -L {C:/Modeltech_6.1d/Xilinx/XilinxCoreLib_ver} +notimingchecks +nowarnTFMPC +nowarnTSCALE -noglitch -t ps tb_i2c_rx
  6. Можно задать опцию +no_notifier для vsim. Временные ошибки будут вываливаться, но в Х триггера уходить не будут
  7. Из стандартного пакета должно компилироваться xpc4drv2.x, а для windrvr смотрим здесь http://electronix.ru/forum/index.php?showtopic=47175
  8. Качаете http://www.jungo.com/st/download/WD910LN.tgz или http://www.jungo.com/st/download/WD910LNX86_64.tgz (для 64 bit). Разтариваете, идете в WinDriver/redist, запускаете ./configure потом make. Если будут проблемы при компиляции попробуйте http://www.jungo.com/st/download/WD811LN.tgz или http://www.jungo.com/st/download/WD811LNX86_64.tgz (для 64 bit)
  9. А что за процесс такой, если не секрет? Стоит ли бороться за адаптивность? Данная проблема решена в теории оптимальной нелинейной фильтрации. Решение дается в виде "Расширенного фильтра калмана". При этом запись Вашей динамической системы принимает вид: x'=Ax+Bu+G(x)w, y=Cx+v. отличие от предыдущего случая: w - белый шум с _единичной_ спектральной плотностью. x - новый вектор состояний, который теперь включает параметр интенсивности формирующего шума. Отсюда появляется G(x). Мне, если честно, всегда удавалось избавляться от адаптивности по интенсивности формирующего шума, однако, мой шеф на этом собаку съел. Могу порекомендовать книжки: 1. С.В.Первачев, А.И.Перов. Адаптивная фильтрация сообщений. - М.: Радио и связь, 1991. – 160с. 2. А.И.Перов. Статистическая теория радиотехнических систем. - М.: Радиотехника, 2003. - 398 с.
  10. Сообщаю чем кончилось дело. Как это ни банально, но всё уже, оказывается, придумано до нас :( . Заказал в ОВЕНЕ прибор ТРМ-200 и термосопротивление Pt100. Термосопротивление сертифицировано до -50°С, но как утверждают специалисты, до -200°С работать будет. (Кстати, в мануале ТРМ-200 для Pt100 именно такая нижняя температура и приводится). Всё это хозяйство стоит не более 3000 руб, что вполне приемлемо. Выражаю искреннюю благодарность всем участникам треда!
  11. Полностью согласен. Спасибо за интерес
  12. Эсли так, то как я понимаю это не соответствует стандарту 2001, потому как (3.2.2) If a variable declaration assignment is used (see 6.2.1), the variable shall take this value as if the assignment occurred in a blocking assignment in an initial construct. Логично было бы чтобы этот ключик для 95-01 работал как initial, а для 05 как описано в 6.4 In Verilog, an initialization value specified as part of the declaration is executed as if the assignment were made from an initial block, after simulation has started. In SystemVerilog, setting the initial value of a static variable as part of the variable declaration (including static class members) shall occur before any initial or always blocks are started. Просмотрев release notes, опция initreg введена только в версии 6.3, так что скорее всего она относится только к SV
  13. Но, по стандарту (6.2.1 стр 73) reg[3:0] a = 4'h4; Эквивалентно reg[3:0] a; initial a = 4'h4; А ключик компилятора получается не эквивалентен инициализации в объявлении переменной
×
×
  • Создать...