Перейти к содержанию
    

kir_vik

Участник
  • Постов

    7
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный
  1. В общем проблема разрешилась. Дело в том, что Квартус по умолчанию ставил самый простой вариант камня семейства 7000АЕ. Таким образом не хватало ячеек (элементов). После установки наиболее продвинутой версии семейства 7000 все откомпилировалось. А в конечном итоге и все заработало как положено. Всем большое спасибо за помощь!
  2. На самом деле это контрольная работа. Первая прога на vhdl. Поэтому делаю ее в рамках лекционного и лабораторного материала. В целом на форум обращаюсь в крайнем случае, когда ну никак. Так что не ругайтесь.))
  3. Второй вариант сработал, который Dev.zip. Спасибо, Александр. Как разберусь, напишу. Поставил Стратикс2. Компиляция прошла без проблем. Симуляция тоже без проблем, но там какая-то фигня, надо разбираться. Еще Вы подкорректировали программку, вникну. Но там кириллица не отображается, если можно в тхт скиньте, есле что-то важное в коментах. В общем завтра. Еще раз спасибо!
  4. Проблема с архивом. Там всего один файл Dev_Count.qar, Квартус предлагает восстановить, но не получается. Вы видимо не все в архив кинули. Про пути понял.
  5. Когда Component_Counter: Counter port map(clk2 => clkDevDC, все нормально, а когда Component_Counter: Counter port map(clk2 => Out_Devider, говорит Error: Can't pack LABs Error: Can't place node "dvdrDC" of type max_io Error: Can't place node "devider:Component_Devider|cnt[0]" of type max_mcell Error: Can't place node "devider:Component_Devider|cnt[1]" of type max_mcell и т.д., всего 161 еррор. Вот в том то и дело, что клоки. Девайдер должен подлеить частоту, и эта поделенная частота должна быть входной для счетчика. Out_Devider и есть та самая поделенная частота, она из девайдера как сигнал должна входить в счетчик. Если как в первой строке, счетчик работает как положено, даже резет и сет работает. Но, повторюсь, именно проблема с этим сигналом Out_Devider.
  6. Здравствуйте, форумчане! Вынужденно обращаюсь, так как уже не знаю в каком направлении думать. Задание: последовательно подключить делитель и счетчик. Сделал: делитель, счетчик, подключил их к проекту верхнего уровня. Требуется, чтобы сигнал с выхода делителя поступал на вход счетчика, и вот тут загвоздка. Аналогичная прога работает, а моя нет. Вот текст: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity Dev_Count is port ( clkDevDC: in std_logic; --clkCntDC: in std_logic; dvdrDC: out std_logic; rstDC: in std_logic; setDC: in std_logic; outcountDC: out natural ); end Dev_Count; architecture Behavior of Dev_Count is component Devider is port ( clk: in std_logic; dvdr: out std_logic ); end component; component Counter is port ( clk2: in std_logic; rst: in std_logic; set: in std_logic; outcount: out natural ); end component; signal Out_Devider: std_logic; begin Component_Devider: Devider port map(clk => clkDevDC, dvdr => Out_Devider); Component_Counter: Counter port map(clk2 => Out_Devider, outcount => outcountDC, rst => rstDC, set => setDC ); end Behavior; Проблемное место: clk2 => Out_Devider. Если вместо Out_Devider ставить clkDevDC, то нормально. Но меня это не устраивает, тама нужно чтобы "поделенный" сигнал с делителя, входил в счетчик. Среда Квартус. Заранее спасибо.
×
×
  • Создать...