Перейти к содержанию
    

vivado pll constrain

Здравствуйте !

 

Столкнулся с проблемой - vivado не видит create_generated_clock констрейн

Делаю как в vivado costrain guide

Прошу привести пример из своего положительного опыта создания рабочего констрейна выхода pll, а именно MMCM2E_ADV в vivado

Заранее багодарен!

 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...