Перейти к содержанию
    

NeoN

Свой
  • Постов

    149
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный

Информация о NeoN

  • Звание
    Частый гость
    Частый гость

Контакты

  • ICQ
    Array
  1. Как-то так: // f2048 is 2048kHz freq // tc32 is 32ms TC // f2 is 1.95Hz freq module syscnt(clk, f2048, tc32, f2); input clk; output f2048, tc32, f2; `ifdef MODEL_TECH reg [9:0] cnt1; // SIMULATION CASE - 1024 times faster `else reg [19:0] cnt1; `endif reg [3:0] cnt2; reg tc32; initial begin cnt1 <= 0; cnt2 <= 0; end always @ (posedge clk) begin { tc32, cnt1 } <= cnt1 + 1; if(tc32) cnt2 <= cnt2 + 1; end assign f2048 = cnt1[3]; assign f2 = cnt2[3]; endmodule
  2. Встречный вопрос: какой инструмент для обжимки вы используете и насколько качественной получается обжимка?
  3. Детектирование BPV может понадобиться линейному оборудованию для предварительного обнаружения ошибок передачи при отсутсвии "верхнего уровня" как такового. Т.е. когда оборудование не лезет в структуру кадра или когда G.704 мультифрейм отсутствует. Для терминального оборудования данный сигнал в целом бесполезен.
  4. Всем спасибо, чего-то подобного и ожидал ;) Только не __MODEL_TECH__, а MODEL_TECH ... Фраза из описания моделсима: ModelSim Verilog implicitly defines the following macro: `define MODEL_TECH
  5. Задача сделать так, чтобы некий фрагмент кода обрабатывал только синтезатор, а другой - моделсим. Если с синтезом вроде понятно: // synthesis translate_on // synthesis translate_off то как сделать подобное для моделирования моделсимом?
  6. А в каком именно свиче вы видели RTL8212? Очень интересует эта информация...
  7. Задачи: разработка агента для управления оборудованием, написание MIB-ов для него, интеграция всего этого в существующие системы управления. Не полная занятость, форма оплаты - любая. Контакты: [email protected], (495)4503790 Ампелонов Андрей Львович.
  8. Комплектный CW6.4 с ограничением по размеру кода и TCP_LITE вместе не живут...
  9. 2 vladec. А что за "свободный" стек имеется ввиду? OpenTCP? И чем его собирать/отлаживать? CW из комплекта демоплаты только до 32К :(
  10. По ходу темы... А где народ берет лекарство к комплектному CW6.4? А то 32К кода как-то мало ;)
  11. Защищенность всей системы от копирования. ПЛИС постоянно запрашивает у контроллера хэш от ПСП, если результат не совпадает - вырубается. P.S. Насчет прогресса в средствах отладки и т.д. Я не любитель MCS51 и практически - ненавистник интела, как разработчика архитектур. Но есть ряд разработок, исторически построенных на 51-х, которые бессмысленно переводить на более прогрессивные контроллеры с ISP. Совокупность наработанного программного обеспечения и тупой, но очень предсказуемой архитектуры 51-х заставляет отказаться от перехода на новый контроллер. Собственно в этой ситуации, эмулятор и был сделан. Был бы готовый - купили бы не раздумывая, время дороже ;) И конечно, все это никак не отменяет загрузки ПЛИС через JTAG, загрузки XCF через JTAG или использования IAP-контроллера, что и используется в других наших разработках :) P.P.S. А кто мою тему в 51-х грохнул? Там она ИМХО была уместней...
  12. Защищенность в данном случае обеспечивает обмен ПЛИС с защищенным процессором, выбор ПЛИС с шифрованием, увы, не велик. В прочем, это совсем другая тема, разросшаяся уже в отдельную огромную ветку.
  13. Объясняю. Указанный в топике вариант _оптимален_ для выполнения следующих требований: возможность самостоятельной замены прошивки заказчиком при сохранении защищенности от копирования. Часть прошивки при этом лежит во встроенном флеше контроллера, который (о, ужас!), то же в DIP корпусе. Второй момент, сподвигнувший меня на данную разработку, необходимость отлаживать систему из 3..4 одинаковых устройств одновременно. В прочем, как говорят, не нравиться - не ешь...
×
×
  • Создать...