Перейти к содержанию
    

Генератор на ФПГА

Надо разработать ген на 19.44 чтобы отстраивался с шагом 0.01 ppm

на 30000 ppm. После нескольких бессонных ночей решил взять в качестве опры TCXO на 19.44, в ПЛИСИНЕ сделать два генератора синуса на основе LUT, там же их в цифре перемножить, вывести на ЦАП, профильтровать, пропустить через компаратор и результат - нужная частота...Чувствую, работать как надо не будет но другие варианты (без умножения) не просматриваются :(

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Vitus

Если это вопрос к Anybody, то он должен быть задан в ПМ; если тема предлагается для обсуждения всем участникам форума, переименуй ее заголовок в более осмысленный и подходящий в раздел "Работаем с ПЛИС, области применения, выбор" :cranky: .

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Надо разработать ген на 19.44 чтобы отстраивался с шагом 0.01 ppm

на 30000 ppm. После нескольких бессонных ночей решил взять в качестве опры TCXO на 19.44, в ПЛИСИНЕ сделать два генератора синуса на основе LUT, там же их в цифре перемножить, вывести на ЦАП, профильтровать, пропустить через компаратор и результат - нужная частота...Чувствую, работать как надо не будет но другие варианты (без умножения) не просматриваются :(

А почему бы не использовать DDS?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Надо разработать ген на 19.44 чтобы отстраивался с шагом 0.01 ppm

на 30000 ppm. После нескольких бессонных ночей решил взять в качестве опры TCXO на 19.44, в ПЛИСИНЕ сделать два генератора синуса на основе LUT, там же их в цифре перемножить, вывести на ЦАП, профильтровать, пропустить через компаратор и результат - нужная частота...Чувствую, работать как надо не будет но другие варианты (без умножения) не просматриваются :(

 

Каково соотношение сигнал/шум на выходе нужно получить ?

Получение маленького фага перестройки - это не проблема, Но вот вопрос каков уровень фазовых шумов нужен.

P.s. На основе LUT низя, такой метод принципиально не предназначен для генерации в узких диапазонах. Слишком хреновый SINAD у них. Т.к. не поместить большую таблицу синуса, дабы задать все значения фазы. Наиболее правильно применение CORDIC вычислителя. И еще одно но после компарирования квадратурных сигналов, Вы получите не ту частоту а частоту умноженную на два :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...