Перейти к содержанию
    

Dvil

Свой
  • Постов

    59
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный

Информация о Dvil

  • Звание
    Участник
    Участник
  • День рождения 01.01.1995

Старые поля

  • skype
    Array

Информация

  • Город
    Array

Посетители профиля

2 484 просмотра профиля
  1. Если, у кого сие, произведение . Много лет им(LVDS) пользуюсь. А тут меня попросили, найти данный стандарт, чтобы посмотреть, а что именно он регламентирует!
  2. FTP Analog Device

    Здесь FTP ссылка на Analog Device ресурсы ! ftp://ftp.analog.com/pub/
  3. FTP Intel(Altera) FPGA

    Здесь FTP ссылка на FPGA Intel ( Altera) ресурс ! ftp://ftp.intel.com/Pub/fpgaup/pub/ 1. Тут есть неплохие курсы 2. И примеры для различных версий Quartus и DevKit
  4. Я вот как то и удивился, что DDR и VAX вроде вещи не очень совметимые :). НО и хорошо. Мож перейти на MAX 10? там и PLL и всё такое, там должно получиться. Мы вроде с ними что то хотим делать.
  5. 1. Если в симуляции вы видите иголки, то всё же лучше добиться ноормальной работы без иголок. Потому что, вы правильно заметили есть еще плата и она тоже может добавить, неопределённостей и увести параметры. Так что надо добиться хорошей картины. Затем Обязательно описать плату в TimeQuest input_delay output_delay. 2. Не знаю, как вообще для макса такие частоты. Мне было бы интересно посомтреть Репорт по TimeQuest
  6. А почему нельзя, просто обновиться и вставить корпус 3D но уже с заданным подёмом ?
  7. Тут может всё зависить, от версии. Но мне кажется, напрямую из ИнтЛибы не работает. Поэтому посмотрите, к какой либе привязан компонент. И потом обновите этот путь связки.
  8. А дайте корку посмотреть , если не жалко.
  9. Попробуйте, 10-пиновый разъем, а не встроенный Бластер!
  10. PCIe Hot-Plug

    А ссылок, на какие нить примеры , не можете дать ?
  11. PCIe Hot-Plug

    А как в драйверах, это ловить интересует QNX, Win, Linux ??? ( можно отвечать в последовательности следования ОСм)
  12. Вот это интересно. Надо попробовать. Заметил, что допустим Q12 нормально компилит и без ошибок и укладывается в консрейны. А вот тоже самое на Q17 и там уже ошибки. Получается, что если я переду на 18ть, то может они пропадут ??? У меня впрос, еще, а где вы про это прочитали ?
  13. Тут , вот пару дней назад, про настольоный теннис смотрел и у китайцев, про эти 10 тыс часов, говориться. А откуда вы про них ? Это какая то магическая цифра ?
  14. Мне кажется, вам стоит посмотреть в сторону SDR. Купить, какую нить отладку. Почувствовать изучить процесс. Благо многие с открытым исходным кодом. А дальше думать, стоит ли вам , самому их проектировать. А если не секрет. Вы химик в какой области ? Вы не из МИТХАте ?
×
×
  • Создать...