Перейти к содержанию
    

honinbo

Свой
  • Постов

    307
  • Зарегистрирован

  • Посещение

Репутация

1 Обычный

Информация о honinbo

  • Звание
    Местный
    Местный

Контакты

  • Сайт
    Array
  • ICQ
    Array

Посетители профиля

3 232 просмотра профиля
  1. wire PB_idle = (PB_state == с); Здесь PB_idle присваивается начальное значение. При этом начальное значение PB_sync_1 не определено. Поэтому и PB_idle не определено. Непрерывное присваивание делается через оператор assign.
  2. И в наших реалиях, в отличии от ихних реалий, переход от ПЛИС к ASIC обычно downgrade, а не upgrade.
  3. А set_clock_propagated gowin понимает? Тогда бы и задержки брались автоматически для нужных углов. А synplify к gowin можно прикрутить?
  4. Главные критики - лог и репорты. Если софт считает, то что вам нужно, то и хорошо.
  5. create_generated_clock -name clk -source [get_ports {sys_clk_i}] -multiply_by 12 -divide_by 5 [get_pins {main_pll_inst/rpll_inst/CLKOUT}] create_generated_clock -name clk_o -source [get_clocks clk] -multiply_by 1 [get_pins {clk_o_obuf/O}] или create_generated_clock -name clk_o -source [get_pins {main_pll_inst/rpll_inst/CLKOUT}] -multiply_by 1 [get_pins {clk_o_obuf/O}] А если так. Софт не видит тактового сигнала на -source [get_pins {clk_oddr_inst/Q0}], хотя говорит об этом странно (Cannot get clock with name ''")
  6. upload/UTILITIES/eetop/eetop.cn_Cree_Wlfspd_ADS_v9p0.zip
  7. Да, и "включить" - это не галочку в меню поставить, это коррекция скрипта моделирования, включение дополнительных команд. И что значит "неприемлемо долго"? Я этим озаботился, когда моделирование с sdf после топологии шло неделю на сервере, а после "включения" многопроцессорности, с разбивкой всего на 4 части по дефолту, вполне успевало за 1 рабочий день на рабочем компе. Xcelium давал примерно такой же результат. Чтобы выжать больше надо уже заморачиваться как бить проект части для большего распараллеливания. И это только для прошло/не_прошло, если дебажить, то придется еще заморачиваться как единую диаграмму с сигналами получить. Доки "курить" однозначно.
  8. Да, включение многопроцессорности существенно ускоряет процесс, но это не зависит от Windows/Linux. Под Linux файловые операции быстрее, если в при моделировании много читается/пишется в файлы, то в Linux это быстрее.
  9. Лопата тоже древнее орудие, но с некоторыми задачами вполне справляется. =) Да, там разные версии одного продукта. Брать которую завести сможете (начиная со свежей).
  10. ../EDA/_Cadence_/CONFRML****/ Conformal ../EDA/_Synopsys_/fm_****/ Formality ../EDA/_Mentor_/FormalPro/ тут от ментора, но старое очень
  11. Посмотрите файл, он текстовый. Или запустите симуляцию заменив ключ -sdftyp на sdfmin или sdfmax.
  12. 4) В логе получаю # Loading timing data from <file>.sdo # ** Note: (vsim-3587) SDF Backannotation Successfully Completed. Может что еще скормить надо. А файл <file>.sdo содержит задержки typical? Там вполне могут быть только min и max или вообще одна из них. Questa запросто успешно накладывает ничего ))
×
×
  • Создать...