Перейти к содержанию
    

Modelsim отключение сообщения о времени событитя

Как можно отключить показ времени события в Modelsim'e ? Учусь писать testbench, где интересующие меня события, выводятся на консоль. Вроде даже что-то получается, но вот есть одна проблема. С каждым выводом сообщений на консоль Modelsim выводит так-же время этого события. И читать, что написано в консоли, становиться затруднительно. Считаю, что это надо отключить и если мне нужно время то я всегда могу вывести его отдельной командой, когда мне это нужно. Но увы, нужной мне настройки или команды я не нашёл.

 

Вот пример как это выглядит:

time.png

 

Может Вы знаете как это можно сделать ?

Ну и сразу задам второй вопрос, который меня мучает, но без ответа на который я проживу спокойно. А можно ли как-то менять цвета сообщений ? В зависимости от важности выводить сообщения разными цветами ?

 

P.S С наступающим ! :santa2:

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Читайте modelsim.ini, сделаете массу открытий.

 

Вам нужен "VHDL assertion Message Format". Там этих форматов куча. Разумеется, этот же параметр можно установить непосредственно руками в Transcript или через do-файл.

 

Но у меня дома достаточно старый ModelSim. В более новом что-то могло измениться.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Читайте modelsim.ini, сделаете массу открытий.

 

Вам нужен "VHDL assertion Message Format". Там этих форматов куча. Разумеется, этот же параметр можно установить непосредственно руками в Transcript или через do-файл.

 

Но у меня дома достаточно старый ModelSim. В более новом что-то могло измениться.

К сожалению изменения в файле modelsim.ini не работают. Можете подсказать как это правильно делать ?

Изменено пользователем Flip-fl0p

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

К сожалению изменения в файле modelsim.ini не работают. Можете подсказать как это правильно делать ?

ЕМНИП, по-умолчанию modelsim.ini копируется в *.mpf файл в папке проекта. Попробуйте сделать изменения в этой копии

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

ЕМНИП, по-умолчанию modelsim.ini копируется в *.mpf файл в папке проекта. Попробуйте сделать изменения в этой копии

Вроде разобрался. Чтобы изменения вошли в силу, необходим полный перезапуск Modelsim. Правда я так и не понял почему изменения в файле Modelsim.ini, созданного в папке проекта, никак не влияли... После удаления этого файла, и правки "главного" файла Modelsim.ini, расположенного в папке с установленным Modelsim, всё заработало как надо.

Чтобы отключить показ времени необходимо в файле Modelsim.ini найти участок и изменить последнюю строку, как у меня:

; Assertion Message Format
; %S - Severity Level 
; %R - Report Message
; %T - Time of assertion
; %D - Delta
; %I - Instance or Region pathname (if available)
; %% - print '%' character
AssertionFormat = %R

Из недостатков такого решения - теперь если кликать на событие, не получиться перескочить на место этого события в окне с временными диаграммами (Wave). Буду думать как это красиво обойти. Пока меня устраивает...

Спасибо за помощь.

Изменено пользователем Flip-fl0p

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Вроде разобрался. Чтобы изменения вошли в силу, необходим полный перезапуск Modelsim. Правда я так и не понял почему изменения в файле Modelsim.ini, созданного в папке проекта, никак не влияли... После удаления этого файла, и правки "главного" файла Modelsim.ini, расположенного в папке с установленным Modelsim, всё заработало как надо.

Во-первых он с маленькой буквы (modelsim.ini), хотя в винде это и не важно.

Не использовал .mpf, но при запуске vsim - используется modelsim.ini из папки запуска, если он там есть. (а иначе копируется туда основной)

Думаю, что имеет значение из какой папки запускается, а не где лежит .mpf

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Во-первых он с маленькой буквы (modelsim.ini), хотя в винде это и не важно.

Не использовал .mpf, но при запуске vsim - используется modelsim.ini из папки запуска, если он там есть. (а иначе копируется туда основной)

Думаю, что имеет значение из какой папки запускается, а не где лежит .mpf

Странно, но у меня вообще .mpf файл не создаётся. Возможно дело в том, что я запускаю симуляцию modelsim'ом через Quartus : run =>Run Simulation Tool => RTL simulation. Попробую сегодня дома разобраться. Позже отпишусь, что у меня получилось\неполучилось

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...