Перейти к содержанию
    

PLL, пробелы в знаниях

Цыклон5

PLL из 50мгц получает 125мгц

 

На один из выходов поддаю делённое на два - работает(62,5 мгц).

На третий подаю делённое на 500 (вроде бы в ПДФ сказано, что счетчик C считает от 1 до 512) частота должна быть около 250килогерц. Квартус ругается, что VCO не сможет это сгенерить! Но, причём тут VCO если С-коунтер работает уже после него.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Цыклон5

PLL из 50мгц получает 125мгц

 

На один из выходов поддаю делённое на два - работает(62,5 мгц).

На третий подаю делённое на 500 (вроде бы в ПДФ сказано, что счетчик C считает от 1 до 512) частота должна быть около 250килогерц. Квартус ругается, что VCO не сможет это сгенерить! Но, причём тут VCO если С-коунтер работает уже после него.

 

У Cyclone V есть вполне конкретные ограничения по частотам! Как сверху, так и снизу. Предлагаю изучить данные ограничения в мануале!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

У Cyclone V есть вполне конкретные ограничения по частотам! Как сверху, так и снизу. Предлагаю изучить данные ограничения в мануале!

По PLL никаких ограничений на выход снизу не вижу. Везде прочерки.

Стр 40.

https://www.altera.com/en_US/pdfs/literatur...-v/cv_51002.pdf

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

На той же странице показано:

PLL voltage-controlled oscillator (VCO) operating range 600 — 1600 MHz

 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

На той же странице показано:

PLL voltage-controlled oscillator (VCO) operating range 600 — 1600 MHz

 

В таком случае, я бы ни одной из озвученных выше частот не смог получить. У меня 125, 62.5, 0.25. А вы мне про 600-1600 говорите.

 

 

Походу это не тот ПЛЛ...

 

Изменено пользователем Trashy_2

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В таком случае, я бы ни одной из озвученных выше частот не смог получить. У меня 125, 62.5, 0.25. А вы мне про 600-1600 говорите.

Так делителем же делится частота с VCO. 512 хватает, чтобы получить 125 и 62,5 МГц. А на 0,25 МГц уже не хватает. Схему найдите (если она есть, я не помню).

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Так делителем же делится частота с VCO. 512 хватает, чтобы получить 125 и 62,5 МГц. А на 0,25 МГц уже не хватает. Схему найдите (если она есть, я не помню).

Спасибо! Затупил.

Причина затупа: мегавизард, почему то не корректирует когда неправильно вводишь коэффициенты N и M, а на C начал ругаться, ну я решил, что первые два правильно...

Изменено пользователем Trashy_2

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...