Перейти к содержанию
    

мне надо, чтобы перед 3-им time bar было пол такта в нуле

 

Из каких соображений "надо"? До прихода load или shift сдвиговый регистр хранит свое предыдущее состояние,

в котором в младшем бите он имеет '1', почему вдруг на полтакта выход должен просесть в '0'?

 

 

ок, а как сделать синхронный load

 

С точки зрения того самого "надо" это ничего не изменит. А так "синхронный" означает, что любые изменения выходных

сигналов возможны только в момент одного из фронтов клока.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

от всех вопросов голова сейчас взорвется))

А Вы не волнуйтесь, я к такому давно привык. Как-то несколько лет поработал на заправке, у которой тротиловый эхквивалент был как 8 Хиросим... Ну и перестал обращать на это внимание.

Начните с "синхронная установка" данных в триггере... "Синхронное проектирование" - это следующий этап...

Потом почитайте по теме "Тестбенч"...

А там лабораторка и получится...

 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...