Перейти к содержанию
    

zxazx

Свой
  • Постов

    109
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный

Информация о zxazx

  • Звание
    Частый гость
    Частый гость

Контакты

  • Сайт
    Array
  • ICQ
    Array

Информация

  • Город
    Array

Посетители профиля

1 232 просмотра профиля
  • White

  1. спасибо за подсказку. я не туда думал :) только выводить не так нужно будет, но направление понятно
  2. из контроллера нужно выводить страничку html. очень хочется хранить ее отдельным файлом и загружать в константу для использования в KEIL. ниже содержимое файла init.html, которое я хочу увидеть в константе. как это сделать? контроллер stm32
  3. на плате сгорел Step-up, а я не могу найти замену. на сгоревшем смог разобрать надпись O12n3(возможно Q12n3) корпус 6-pin SOT-23 по схеме включения и корпусу аналог микросхем tps61070 и mcp16251 по родным резисторам для настройки выходного напряжения предполагаю, что внутреннее опорное напряжение у микросхемы 0,5В, как у tps61070 Step-up питает измерительную схему с током потребления не более 20мА, напряжение, предположительно, 5в входное напряжение Step-up 3,3в я пытался поставить туда tps61070 и mcp16251, но они обе не подходят. при настройке выходного напряжения в пределах 5-6 вольт, показания измерительной схемы не стабильны, видимо, шум по питанию сильный. при настройке выходного напряжения 7В(случайно получилось) прибор работает без видимых сбоев. померять шум по питанию не могу. :( предполагаю, что проблема в переключении микросхем между режимами PFM/PWM. видимо, я смотрю не на те микросхемы. посоветуйте что-то
  4. в опциях проекта на вкладке DEVICE для моего чипа нет симулятора в списке Supported Tools. что нужно сделать, что-бы этот чип можно было отлаживать в симуляторе, если это возможно
  5. проблема такая: системник управляет неким девайсом в обычном режиме работы Windows XP. при сбое питания, система грузится в Save Mode и девайс больше не работает. до кнопки вкл/выкл компьютера добраться не всегда есть возможность(комната где он стоит часто закрыта) вариант 1 : нужно изменить способ загрузки таким образом, что-бы после сбоя комп загружался в обычном режиме. можно оставить табличку выбора способов загрузки, но по умолчанию нужно выбрать обычную загрузку(этот вариант, даже предпочтительнее). вариант 2 по-хуже: пусть себе грузится в Save Mode, но после загрузки система САМА перезагружается для загрузки в обычном режиме.
  6. помогите найти доку на jxi5020. Это LED-драйвер. или, хотя-бы подскажите кто производитель этой микросхемы. Эта микросхема - аналог mbi5026(от Макроблока). Очень нужно знать насколько полный это аналог.
  7. подскажите в каком отчете указана максимальная тактовая частота внешнего генератора для проекта после трассировки?
  8. размеры найденых корпусов с крышкой на защёлках слишком большие.... не подходят!!!!
  9. помогите подобрать пластиковый корпус в Киеве. параметры такие: 1)должна быть быстросъёмная крышка на защёлке(как на большинстве портативных устройств) 2)в корпус должны помещаться две батареи АА(или ААА) и платка той же площади, что и две батареи, высота деталей около 11мм. Можно, даже, одна батарея АА или ААА. идеально подошло бы расположение батарейного отсека под платой. В таком случае размер корпуса для батарей АА 59х34х28мм возможно, подошел бы батарейный отсек, на 3 элемента, ЗАКРЫВАЮЩИЙСЯ КРЫШКОЙ и без торчащих наружу проводов, клемм.
  10. 2 XVR много непонятных символов :) это, наверное не VHDL? с тестбенчем разобрался встроенных симулятор ise xilinx компилит такой код: ENTITY t_add_vhd IS END t_add_vhd; ARCHITECTURE behavior OF t_add_vhd IS .......... SIGNAL z : std_logic; .......... для моделсима нужно писать так: entity t_add_vhd is port ( z : OUT std_logic); end t_add_vhd; ................. второй вариант логичнее... три дня этот баг искал! интересно узнать причину таких расхождений! где можно почитать про структуру тестбенча vhdl. может, ise xilinx ещё что-то упрощает?
  11. есть подозрение, что встроенный симулятор ISE Xilinx использует "упрощённую" структуру тест бенча, которую моделсим не понимает... пример тест бенча для моделсим кто-нибудь приведёт?
  12. спасибо за ответы. от себя добавлю, что если используются cores, то нужно в функциональной модели выбрать VHDL(у меня по умолчанию Verilog) сейчас проект, грузится без ошибок, но во вкладке SIM есть только тестовый модуль(test_add1), тестируемого модуля(add1) нет, сигналов нет. если из ISE запускать симуляцию тестируемого модуля(add1) без модуля с тестовыми воздействиями(test_add1), то появляются сигналы, но, естественно, воздействий нет. встроенный симулятор ISE работает, как и должен. помогите запустить симуляцию в моделсим.
  13. раньше работал со встроенным симулятором, но он наконец-то сошёл сума от строки: RShift8 <= countRegen(7 downto 0) WHEN countDigTimeAdr ="0000" ELSE countRegen(8 downto 1) WHEN countDigTimeAdr ="0001" ELSE countRegen(9 downto 2) WHEN countDigTimeAdr ="0010" ELSE countRegen(10 downto 3) WHEN countDigTimeAdr ="0011" ELSE countRegen(11 downto 4) WHEN countDigTimeAdr ="0100" ELSE countRegen(12 downto 5) WHEN countDigTimeAdr ="0101" ; теперь пытаюсь освоить модельсим. В Integrated Tools добавил модельсим. Вопросы: 1)В ИСЕ в свойствах проекта при выборе симулятора появились строчки: Modelsim-SE VHDL, Modelsim-PE VHDL, Modelsim-XE VHDL. Что нужно выбирать. 2)Модельсим ругается: # ** Error: closk1.vhd(26): Library unisim not found. # ** Error: closk1.vhd(27): (vcom-1136) Unknown identifier "unisim". где взять библиотеку? 3)Как в модельсиме вывести на экран внутренние сигналы?
×
×
  • Создать...