Перейти к содержанию
    

Баг с двунаправленными шинами

Для создания двунаправленной шины использую двунаправленый буфер iobuf, описаный на vhdl, прикрепленный как компонент. Это раз. В тестбенч файле и в моделсиме все ок, т.е. ежели шина описана как двунаправленая то у не соответственно один выход/вход (1 нога на разряд).

 

НО! При синтезе каждая двунапрвленная шина считается почему-то за ДВЕ ноги (2 ноги на разряд)!!! Почему - я не понимаю :blink:

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Вот выкладываю простейший пример испотльзования двунаправленной шины, сделано в xilinx 6.3.03i. Таже проблема наличествует...

 

Поможите, чем можите :)

 

inout.rar

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Попробуйте описать шину вручную без использования компонентов (может Вы что-то лишнее добавляете). Опишите ее как io и добавте управляющий сигнал, к примеру С для нее. Ассайном во время активного С выводите какието значения, во время неактивного выводите z и можете читать с шины.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Согласен с Camelotом, ты что-то не так делаешь....

Долгое время работаю с двунаправленными шинами, никогда не имел проблем...до того момента пока не пришлось работать в EDK..

Подробнее, плиз, опиши проблему или мне напиши я постараюсь ответить..

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Согласен с Camelotом, ты что-то не так делаешь....

Долгое время работаю с двунаправленными шинами, никогда не имел проблем...до того момента пока не пришлось работать в EDK..

Подробнее, плиз, опиши проблему или мне напиши я постараюсь ответить..

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Согласен с Camelotом, ты что-то не так делаешь....

Долгое время работаю с двунаправленными шинами, никогда не имел проблем...до того момента пока не пришлось работать в EDK..

Подробнее, плиз, опиши проблему или мне напиши я постараюсь ответить.. :smile3046:

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Ффишка в том, что все в принципе вот тока что прокатило и даже файл прошивки сгенерился - т.е. xilinxu плевать на то что пишет xilinx :) ну не хватает тожек и не хватает...

 

я уже ноги все полокил и все гуд - работает в принципе... в моделсиме.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Не знаю у меня проблема другого плана... в реале двунаправленный порт работает а моделсим на чтение из шины моделирует, а на запись - пусто... может в тесте баг?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Не знаю у меня проблема другого плана... в реале двунаправленный порт работает а моделсим на чтение из шины моделирует, а на запись - пусто... может в тесте баг?

Однозначно.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...