Перейти к содержанию
    

Cyclone 10 LP и True LVDS

Доброго времени суток!

 

Такой вопрос вот назрел, думали попробовать новый циклон (конкретно - 10CL016YU256I7G), а когда рисовал УГО, заметил такую вещь в сравнении с 5-м циклоном:

post-82352-1529910980_thumb.png

И если посмотреть в Пинпланере, то так же не видно разделений на Rx\Tx и High Speed:

post-82352-1529910987_thumb.png

Даташит же говорит, что помимо эмулированных LVDS, тут есть и True LVDS (и mini-LVDS) приёмники \ передатчики... собственно и вопрос - как это понять, тут все эмулированное (явно хуже Dedicated Tx/Rx Channel как у циклона 5го) или подход другой и я что то не понял просто?

https://www.altera.com/content/dam/altera-w...c10lp-51002.pdf

post-82352-1529910992_thumb.png

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Взял один и тот же проект (просто приёмник LVDS), скомпилировал под 5 и 10й циклоны, на десятом расход ресурсов раз в 10 выше.. - т. е. таки эмулированное все, а не типа переферийного блока встроенного, что и подтверждает, почему нет разделения на Rx\Tx.

ЗЫ. Прошу не пинать, опыта не так и много, поэтому может быть задаю нубские вопросы.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Взял один и тот же проект (просто приёмник LVDS), скомпилировал под 5 и 10й циклоны, на десятом расход ресурсов раз в 10 выше.. - т. е. таки эмулированное все, а не типа переферийного блока встроенного, что и подтверждает, почему нет разделения на Rx\Tx.

ЗЫ. Прошу не пинать, опыта не так и много, поэтому может быть задаю нубские вопросы.

 

A разница между 10 и 10 LP?

 

UPD : 10 GX оказывается только есть.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...