Перейти к содержанию
    

Modelsim + Xilinx ISE = ?

...внесения изменений в исходник, перекомпиляции и перезапуска моделирования.

 

Сейчас работа идет так - в редакторе ISE правится исходник, затем в Modelsim дается команда перекомпилировать файлы, в которые вносились изменения, затем перезапускается модель.

 

Есть ли способ автоматизировать процесс? Т.е. сократить кол-во катаний мыши и тыканья кнопок между правкой исходников и запуском моделирования?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Пользуюсь следующей связкой Prism(редактор)+Synplify+ISE. Несколько облегчает жизнь написание .do файла для ModelSim.

Пример:

## Макрос для Поведенческой модели

vlog -reportprogress 300 -work work C:/Projects/Turbo_Decoder_73_45/src/common_td.v

vlog -reportprogress 300 -work work C:/Projects/Turbo_Decoder_73_45/src/TurboDecoder73_45.v

vlog -reportprogress 300 -work work C:/Xilinx/verilog/src/glbl.v

vlog -reportprogress 300 -work work C:/Projects/Turbo_Decoder_73_45/src/tb_TD_73_45.v

vsim -L unisims_ver -L XilinxCoreLib_ver -t 1pS work.test glbl

view wave

view structure

view signals

do wave.do

run 12 us

Далее даешь команду Run macro из ModelSim и он компилит и запускает на исполнение модель.

 

P.S.

Говорят с помощью TCL можно еще лучше делать :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

...внесения изменений в исходник, перекомпиляции и перезапуска моделирования.

 

Сейчас работа идет так - в редакторе ISE правится исходник, затем в Modelsim дается команда перекомпилировать файлы, в которые вносились изменения, затем перезапускается модель.

 

Есть ли способ автоматизировать процесс? Т.е. сократить кол-во катаний мыши и тыканья кнопок между правкой исходников и запуском моделирования?

 

Создаю проект. Потом в окне с деревом проекта (панель - Sources in project) выделяю

строку с типом кристалла - пример xc2v3000-4fg676, затем в окнес деревом процессов

(панель - processes for sources) правой кнопкой мыши выбираю свойства строки

Design Entry Utilities -> Compile HDL Simulation Libraries, и выбираю

в качестве целевого симулятора ModelSim. Первый шаг сделан. Теперь

я делаю так. Код пишу во встроенном редакторе ISE. В окне

с деревом проекта я выделяю top vhdl файл. В окне с процессами, в меню "Design Entry Utilities"

появится новый пункт "Launch ModelSim Simulator". Открываю свойства этого пункта и указываю путь к

скрипту , к примеру my_project.do . Усе. Теперь достаточно в скрипте указать нужные команды

 

К примеру

vcom -93 mp.vhd polyphase.vhd f.vhd

vsim -t 1ns top top_behavior

------

....Еще что надо

-----

run 1100 ns

 

Теперь как возникает необходимость запустить симулятор для измененных файлов

делаем даблклик на пункте Launch ModelSim Simulator. Вуаля.

 

Тхе энд.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Не совсем понял - это вроде штатный путь, но при перезапуске моделсима теряется настройка окна сигналов и пр. Да и запускается он не мгновенно ;) Задача как раз обойтись без перезапуска...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Не совсем понял - это вроде штатный путь, но при перезапуске моделсима теряется настройка окна сигналов и пр. Да и запускается он не мгновенно ;) Задача как раз обойтись без перезапуска...

Да, это штатный путь. Тогда давайте определимся. От ISE вам нужен только редактор, на этапе создания и отладки модели устройства ( пока синтез не трогаем) на сколько я понял. На этом этапе я вообще не вижу необходимости в ISE. В данном случае мне только нужен хороший редактор с подсветкой синтаксиса vhdl и моделсим. До теп пор пока модель не зашуршит, я ISE и не запускаю. До сих пор все свои проекты писал таким образом. И вообще такая связка дает еще одно преимущество - я могу использовать в симуляции тестбенчи для основного проекта из несинтезируемого подмножества вхдл. И modelsim тогда придется запускать всего один раз, если не повиснет ;).

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Спасибо, тогда еще вопрос: можно ли заставить Моделсим перекомпилировать измененные исходники автоматически? Чтобы compile каждый раз не вызывать?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Спасибо, тогда еще вопрос: можно ли заставить Моделсим перекомпилировать измененные исходники автоматически? Чтобы compile каждый раз не вызывать?

А перекомпилировать каждый раз вовсе не обязательно! Да и не будет перекомпилировать моделсим не измененные файлы. Все файлы проекта, которые редактируются, компилятся одной строчкой vcom ..... в do файле, который я, собственно, каждый раз и вызываю, после вненсения изменений в проект командой "do dofilename.do".

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Я тоже работаю по такому же методу как уважаемый _pegas_.

Только есть маленькие дополнения.

 

А именно:

1. Cоздю VHDL кода и соответсвующий ему test_banch

2. Запускаю ModelSim (из ISE (Launch ModelSim Simulator))

а) при этом запуске указываю ISE что бы он сам создавал do файл

 

3. После запуска ModelSim вытягиваю все необходимые мне сигналы

и сохраняю их в отдельном файлу например: Func_wave.do

 

4. Теперь создаю свой do файл о котором уже писал _pegas_

но в конце перед коммандой run пишу do Func_wave.do . Т.е загрузить интерисуюющие меня сигналы.

 

5. Запускаю ModelSim (из ISE (Launch ModelSim Simulator))

а) при этом запуске указываю ISE что бы он ВЫПОЛНЯЛ МОЙ DO ФАЙЛ!!!

 

6. Теперь все изменения в вашем исходнике vhdl будут отражаться и ModelSim

А что бы каждый раз после очередного изменения не закрывать и не перезапускать ModelSim. Можно ваш DO файл запускать непосредственно из самого ModelSim. Если не изменяет память TOOL\Macro... чего то там. Ща не могу вспомнить нету под рукой ModelSim.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Если всё ещё актуально...

Делаю проще:

1. в ISE делаю черновой вариант;

2. запускаю MS;

3. в самом MS открываю исходник, снимаю галочку "read only"

4. там же правлю, записываю, компилю, сбрасываю, запускаю...

Когда всё отлажено, перекллючаюсь в ISE, а он сам предлагает перезагрузить изменённые файлы.

Минусов два:

- количество тычков мышей не уменьшается, но процесс запуска происходит всё же быстрее;

- в самом MS ну очень уж уё***ный редактор.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...