Перейти к содержанию
    

KP580BM80

Участник
  • Постов

    14
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный

Информация о KP580BM80

  • День рождения 01.01.1965

Контакты

  • Сайт
    Array
  • ICQ
    Array

Информация

  • Город
    Array

Посетители профиля

1 402 просмотра профиля
  1. Смысл она имеет. fk_tmp - фаза AMI-подобного кода и она должна каждый раз при передаче единцы (в dk_tmp) меняться на противоположную. При передачи нуля фаза не изменяется, да и не используется. fk_tmp и dk_tmp - сигналы формируемые внутри процесса. Ваш же вариант: ... begin process(clk,reset) begin if(reset = '1') then fk_tmp_out <='0'; elsif(clk'event and clk='1') then fk_tmp_out <= fk_tmp xor dk_tmp; end if; end process; ... просто ксорит два входа и результат выдаёт на выход. Вы меня не поняли (или я плохо объяснил :)). В любом случае, ваше пояснение подтвердило мою уверенность, что мой код: if(clk'event and clk='1') then ... fk_tmp <= fk_tmp xor dk_tmp; ... end if; таки синхронен. Благодарю.
  2. Извиняюсь в свою очередь, но после ваших постов мой уровень понимания только снизился. Я считаю, что "fk_tmp <= fk_tmp xor dk_tmp" - защёлкой не является по причине нахождения в последовательном процессе, синхронизированном по клоку. Если я не прав в своём утверждении, то почему? Вы можете дать фрагмент правильного синхронного кода, реализующего переключение сигнала при наличии '1' на контрольном входе?
  3. Вы абсолютно правы - был один такой сигнал со входа ПЛИС. Пропустил его через триггер, стробируя сигналом clk. Теперь работает и с вариантом "fk_tmp <= fk_tmp xor dk_tmp;". Спасибо.
  4. Спасибо за отклик. Но, вопросов только добавилось. Речь идёт о последовательном процессе, чувствительном для clk. Во втором случае должен иметь место всё-таки синхронный триггер, фиксирующий выход логики XOR. Или я не прав? Чисто для изучения схемотехники :) изменил if до полного: if (dk_tmp='1') then --вариант 1 fk_tmp <= not fk_tmp; else fk_tmp <= fk_tmp; end if; но результат не изменился. Пробовал ещё так: if (dk_tmp='1') then --вариант 2 fk_tmp <= not fk_tmp; else null; end if; - без изменений. Действительно ли вариант 1 отличается от: fk_tmp <= fk_tmp xor dk_tmp; ?
  5. В работающем, отлаженном процессе меняю конструкцию: if (dk_tmp='1') then fk_tmp <= not fk_tmp; end if; на: fk_tmp <= fk_tmp xor dk_tmp; и получаю нерабочую систему. В тесте на железе появляется порядка 1% ошибок. До замены их не было вообще! Замечены и другие подобные нюансы. В чём могут быть грабли?
  6. Да, эта ветка может служить отправной точкой. Спасибо.
  7. О.К. Может быть, удастся и самому раньше проверить. Если, так, то тоже сообщу.
  8. Оценивать нужно по выполнению идентичных тестов. Теперь по расчетам. В системе команд 8080 нет трехтактных инструкций. Минимум – 4 (регистр-аккумулятор, например). Соответственно, потолок -0.625 мипс. Как для 8080, так и для меги реальная программа не может состоять только из самых "быстрых" команд. Регистров маловато (по сравнению с АВР), что вынуждает активней использовать память, а это уже 7 тактов. Ну и максимальная длительность команд – 17 тактов (я ошибся в предыдущем своем посту). Таким образом, для 8080 при самом оптимистичном прогнозе я бы не дал выше 0.3 мипс при 2.5 МГц. P.S. Пардон, не заметил пост SasaVitebsk от Oct 3 2008, 11:34. Мог бы и не продолжать :rolleyes:
  9. У i8080 частота кварца предварительно делится на 9 внешней ИМС тактового генератора. А из полученного значения считаются такты от 4 до 12 (или 13 не помню) на команду. В среднем получается существенно меньше чем 0.8 MIPS.
  10. А у Вас, уважаемый МП41, есть результат практических испытаний? Или это теоретически обоснованное знание, либо просто предположение? И с какой стороны предвидятся грабли: 1. Astudio / IAR 2. Max+plus II / Quartus 3. Взаимные ? Есть внутренняя уверенность, что все-таки запрограммировать кристаллы таким способом удастся. Возможно используя самодельные утилиты, а не штатный софт.
  11. Обратитесь в MT-System. Они в свое время анонсировали память от Renesas для замены Самсунга.
  12. Ну, если фокус не проходит, то конечно лучше. Можно и 2 разъема поставить, но хотелось бы использовать свойство JTAG - группового соединения с выводом на 1 разъем. Опыта объединения по JTAG разнотипных кристаллов не имею. Потому и интересуюсь.
  13. Здравствуйте. Можно ли запрограммировать одну M128 и несколько epm3XXX соединенные в цепочку jtag? Увидит ли алтеровский софт CPLD, а атмеловский – атмегу? Подразумевается использование двух разных кабелей jtag с поочередным подключением. Наприяжения питаний – одинаковые. Возможна ли отладка атмеги при таком подключении?
×
×
  • Создать...