Перейти к содержанию
    

ecos-rtos.in.ua

Свой
  • Постов

    206
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный

Информация о ecos-rtos.in.ua

  • Звание
    Местный
    Местный
  • День рождения 22.03.1982

Контакты

  • Сайт
    Array
  • ICQ
    Array

Информация

  • Город
    Array

Посетители профиля

3 460 просмотров профиля
  1. Для сборки своего ducati-m3.bin (по причине изменения таймеров в 2.3 и 4.0 андроидах) пытаюсь исспользовать xttps://github.com/robclark/libdce/tree/rpmsg Все хорошо, не могу найти только HDVICP2 API and HDVICP2_CODECS - 1.00.000 Ссылка из README (xttp://software-dl.ti.com/dsps/dsps_public_sw/codecs/HDVICP2/index_FDS.html) мертвая. Техасы шлют куда подальше xttp://e2e.ti.com/support/embedded/multimedia_software_codecs/f/356/t/182743.asp Собственно ищу сабж. Может у кого есть? Он пару месяцев назад был свободно доступен.
  2. Забил константу signal ADDR_wire : std_logic_vector(11-1 downto 0) := (others => '0'); Ошибка: ERROR:HDLParsers:837 - "E:/lin/TFT_FPGA_initial/image_gen_bram.vhd" Line 130. Width mismatch. Expected width 17, Actual width is 11 for dimension 1 of ADDR_wire. ERROR:HDLParsers:837 - "E:/lin/TFT_FPGA_initial/image_gen_bram.vhd" Line 139. Width mismatch. Expected width 17, Actual width is 11 for dimension 1 of ADDR_wire. ERROR:HDLParsers:837 - "E:/lin/TFT_FPGA_initial/image_gen_bram.vhd" Line 148. Width mismatch. Expected width 17, Actual width is 11 for dimension 1 of ADDR_wire. Поставил 17, получил другую signal ADDR_wire : std_logic_vector(17-1 downto 0) := (others => '0'); ERROR:NgdBuild:76 - File "ipcore_dir/image_gen_bram_red.ngc" cannot be merged into block "IMAGE/image_BLUE_data" (TYPE="image_gen_bram_red") because one or more pins on the block, including pin "addra<16>", were not found in the file. Please make sure that all pins on the instantiated component match pins in the lower-level design block (irrespective of case). If there are bussed pins on this block, make sure that the upper-level and lower-level netlists use the same bus-naming convention. ERROR:NgdBuild:76 - File "ipcore_dir/image_gen_bram_red.ngc" cannot be merged into block "IMAGE/image_GREEN_data" (TYPE="image_gen_bram_red") because one or more pins on the block, including pin "addra<16>", were not found in the file. Please make sure that all pins on the instantiated component match pins in the lower-level design block (irrespective of case). If there are bussed pins on this block, make sure that the upper-level and lower-level netlists use the same bus-naming convention. ERROR:NgdBuild:76 - File "ipcore_dir/image_gen_bram_red.ngc" cannot be merged into block "IMAGE/image_RED_data" (TYPE="image_gen_bram_red") because one or more pins on the block, including pin "addra<16>", were not found in the file. Please make sure that all pins on the instantiated component match pins in the lower-level design block (irrespective of case). If there are bussed pins on this block, make sure that the upper-level and lower-level netlists use the same bus-naming convention. ERROR:NgdBuild:604 - logical block 'IMAGE/image_BLUE_data' with type 'image_gen_bram_red' could not be resolved. A pin name misspelling can cause this, a missing edif or ngc file, case mismatch between the block name and the edif or ngc file name, or the misspelling of a type name. Symbol 'image_gen_bram_red' is not supported in target 'spartan3e'. ERROR:NgdBuild:604 - logical block 'IMAGE/image_GREEN_data' with type 'image_gen_bram_red' could not be resolved. A pin name misspelling can cause this, a missing edif or ngc file, case mismatch between the block name and the edif or ngc file name, or the misspelling of a type name. Symbol 'image_gen_bram_red' is not supported in target 'spartan3e'. ERROR:NgdBuild:604 - logical block 'IMAGE/image_RED_data' with type 'image_gen_bram_red' could not be resolved. A pin name misspelling can cause this, a missing edif or ngc file, case mismatch between the block name and the edif or ngc file name, or the misspelling of a type name. Symbol 'image_gen_bram_red' is not supported in target 'spartan3e'.
  3. Подключил к FPGA самодельную плату с TFT экраном. Портировал на этот экран модуль развертки от Jonathon W. Donaldson с opencores.org. Экран работает, при подключении "1" на входы RGB меняется цвет экрана. Решил отобразить картинку, подключил в проекте image_gen_bram, тут случился затык. http://paste.ubuntu.com/637762/ Кусок кода: ... ARCHITECTURE image_gen_bram_arch OF image_gen_bram IS constant C_NUM_LCD_PIXELS : positive := 480; -- number of drawable pixels per line in the LCD --Connecting signal wires between components signal SINIT_wire : std_logic := '0'; signal ADDR_wire : std_logic_vector(C_BRAM_ADDR_WIDTH-1 downto 0) := (others => '0'); begin image_RED_data : image_gen_bram_red port map ( clka => CLK_LCD, addra => ADDR_wire, -- OUTPUTS -- douta => R ); .... C_BRAM_ADDR_WIDTH : POSITIVE := 11; определено в модуле высшего уровня. IP ядро Block Memory Generator дало такой template ------------- Begin Cut here for COMPONENT Declaration ------ COMP_TAG COMPONENT image_gen_bram_red PORT ( clka : IN STD_LOGIC; addra : IN STD_LOGIC_VECTOR(10 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(5 DOWNTO 0) ); END COMPONENT; -- COMP_TAG_END ------ End COMPONENT Declaration ------------ Помогите решить проблему с ERROR:Xst:2587 - Port <addra> of instance <image_RED_data> has different type in definition <image_gen_bram_red>. Проект выложил здесь http://www.4shared.com/file/u1mt-2NL/TFT_FPGA_initial.html
  4. А зачем тогда реле, если земля общая? Кусок схемы с реле приведите.
  5. Начал разработку платы для обеспечения питания платы beagleboard от банки лития (3.7В) или стабилизированного 5В с возможностью заряда аккумулятора от 5В. Т.е имеем ТЗ: 1. На входе 5В от AC/DC преобр. или LiIon акк. 2. На выходе 5В/1А, 3.3В/1А. 3. Включение питания происходит по нажатию и удержанию 2с кнопки 4. Выключение питания по сигналам POWER_INT (с последующим POWER_KILL) или POWER_KILL от кнопки/микропроцессора. 5. Заряд аккумулятора от 5В от AC/DC преобразователя во включенном (на выходе есть 5В/1А, 3.3В/1А) и выключенном состоянии (напряжения на выходе нет). 6. Зарядка от USB не используеться. Возникли вопросы: 1. Соответствует ли приведенная схема ТЗ. 2. Нужно ли подключать сигналы CHARGER_SUSP, CHARGER_SHDN Помогите советом.
  6. Собираю hardfloat систему с X, Qt(собрано), KDE (в процессе). Настроил distcc. К инструкциям http://armin762.wordpress.com/2010/12/09/a...oat-and-gentoo/ добавлю: CFLAGS="-O2 -fPIC -pipe -mfpu=neon -mfloat-abi=hard -mcpu=cortex-a8" EXTRA_FFMPEG_CONF="--extra-cflags=-mfpu=neon" Без последней строчки не собирался ffmpeg (установлен как зависимость для qt-demo) Без -fPIC было много предупреждений TEXTREL.
  7. Подключил внешний винчсестер. Запустил Gentoo на платке. Полет нормальный. Работать стало быстрее за счет увеличения скорости чтения.записи на ЖД (по сравнению с microSD).
  8. Сообщение на экране или в консоле (RS-232)? rootfs какая?
  9. Вышел в интернет на плате через прокси-сервер.
  10. Начал щупать вживую. (Купил через посредника с digikey) Досталась плата с NAND Привожу лог загрузки с тестовым образом, который шел в поставке. Texas Instruments X-Loader 1.4.4ss (Aug 19 2010 - 02:49:27) Beagle xM Rev A Reading boot sector Loading u-boot.bin from mmc U-Boot 2010.03-dirty (Aug 20 2010 - 20:50:46) OMAP3630/3730-GP ES2.0, CPU-OPP2, L3-165MHz, OMAP3 Beagle board + LPDDR/NAND I2C: ready DRAM: 512 MB NAND: 256 MiB *** Warning - bad CRC or NAND, using default environment In: serial Out: serial Err: serial Probing for expansion boards, if none are connected you'll see a harmless I2C error. No EEPROM on expansion board Beagle xM Rev A Die ID #267e00011ff00000015739eb0c037018 Hit any key to stop autoboot: 0 mmc1 is available The user button is currently NOT pressed. reading boot.scr ** Unable to read "boot.scr" from mmc 1:1 ** reading user.scr 755 bytes read Running bootscript from mmc ... ## Executing script at 80200000 mmc1 is available reading ramdisk.gz 19960110 bytes read reading uImage 3190568 bytes read Booting from ramdisk ... ## Booting kernel from Legacy Image at 80200000 ... Image Name: Angstrom/2.6.32/beagleboard Image Type: ARM Linux Kernel Image (uncompressed) Data Size: 3190504 Bytes = 3 MB Load Address: 80008000 Entry Point: 80008000 Verifying Checksum ... OK Loading Kernel Image ... OK OK Starting kernel ... Uncompressing Linux........................................................................... ... [ 0.000000] Linux version 2.6.32 (ubuntu@ip-10-204-115-71) (gcc version 4.3.3 (GCC) ) #3 PREE0 [ 0.000000] CPU: ARMv7 Processor [413fc082] revision 2 (ARMv7), cr=10c53c7f [ 0.000000] CPU: VIPT nonaliasing data cache, VIPT nonaliasing instruction cache [ 0.000000] Machine: OMAP3 Beagle Board [ 0.000000] Memory policy: ECC disabled, Data cache writeback [ 0.000000] OMAP3630/DM3730 ES1.0 (l2cache iva sgx neon isp 192mhz_clk ) [ 0.000000] SRAM: Mapped pa 0x40200000 to va 0xfe400000 size: 0x100000 [ 0.000000] Reserving 16777216 bytes SDRAM for VRAM [ 0.000000] Built 1 zonelists in Zone order, mobility grouping on. Total pages: 117760 [ 0.000000] Kernel command line: console=tty0 console=ttyS2,115200n8 mem=80M@0x80000000 mem=32 [ 0.000000] Beagle expansionboard: none [ 0.000000] Beagle cameraboard: lbcm3m1 [ 0.000000] PID hash table entries: 2048 (order: 1, 8192 bytes) [ 0.000000] Dentry cache hash table entries: 65536 (order: 6, 262144 bytes) [ 0.000000] Inode-cache hash table entries: 32768 (order: 5, 131072 bytes) [ 0.000000] Memory: 80MB 384MB = 464MB total [ 0.000000] Memory: 316288KB available (5880K code, 671K data, 204K init, 0K highmem) [ 0.000000] Hierarchical RCU implementation. [ 0.000000] NR_IRQS:402 [ 0.000000] Clocking rate (Crystal/Core/MPU): 26.0/332/600 MHz [ 0.000000] Reprogramming SDRC clock to 332000000 Hz [ 0.000000] GPMC revision 5.0 [ 0.000000] IRQ: Found an INTC at 0xfa200000 (revision 4.0) with 96 interrupts [ 0.000000] Total of 96 interrupts on 1 active controller [ 0.000000] OMAP GPIO hardware version 2.5 [ 0.000000] OMAP clockevent source: GPTIMER12 at 32768 Hz [ 0.000000] Console: colour dummy device 80x30 [ 0.000000] console [tty0] enabled [ 0.000000] Calibrating delay loop... 493.67 BogoMIPS (lpj=1929216) [ 0.000000] Mount-cache hash table entries: 512 [ 0.000000] CPU: Testing write buffer coherency: ok [ 0.000000] tmpfs: No value for mount option 'mode' [ 0.000000] devtmpfs: initialized [ 0.000000] regulator: core version 0.5 [ 0.000000] NET: Registered protocol family 16 [ 0.000000] Beagle cameraboard: registering i2c2 bus for lbcm3m1 [ 0.000000] Found NAND on CS0 [ 0.000000] Registering NAND on CS0 [ 0.000000] Unable to get DVI reset GPIO [ 0.000000] omap_init_mbox: platform not supported [ 0.000000] Target VDD1 OPP = 4, VDD2 OPP = 2 [ 63.588836] OMAP DMA hardware revision 5.0 [ 63.595153] bio: create slab <bio-0> at 0 [ 63.596435] SCSI subsystem initialized [ 63.597839] usbcore: registered new interface driver usbfs [ 63.598022] usbcore: registered new interface driver hub [ 63.598205] usbcore: registered new device driver usb [ 63.598602] i2c_omap i2c_omap.1: bus 1 rev4.0 at 2600 kHz [ 63.601287] twl4030: PIH (irq 7) chaining IRQs 368..375 [ 63.601348] twl4030: power (irq 373) chaining IRQs 376..383 [ 63.601623] twl4030: gpio (irq 368) chaining IRQs 384..401 [ 63.603363] regulator: VUSB1V5: 1500 mV normal standby [ 63.603607] regulator: VUSB1V8: 1800 mV normal standby [ 63.603912] regulator: VUSB3V1: 3100 mV normal standby [ 63.605194] twl4030_usb twl4030_usb: Initialized TWL4030 USB module [ 63.605590] regulator: VMMC1: 1850 <--> 3150 mV normal standby [ 63.605865] regulator: VDAC: 1800 mV normal standby [ 63.606109] regulator: VPLL2: 1800 mV normal standby [ 63.606353] regulator: VSIM: 1800 <--> 3000 mV normal standby [ 63.606750] regulator: VAUX3: 1800 mV normal standby [ 63.607116] regulator: VAUX4: 1800 mV normal standby [ 63.607299] i2c_omap i2c_omap.2: bus 2 rev4.0 at 400 kHz [ 63.616577] i2c_omap i2c_omap.3: bus 3 rev4.0 at 100 kHz [ 63.617797] Switching to clocksource 32k_counter [ 63.626831] musb_hdrc: version 6.0, musb-dma, otg (peripheral+host), debug=0 [ 63.630554] musb_hdrc: USB OTG mode controller at fa0ab000 using DMA, IRQ 92 [ 63.630615] musb_hdrc musb_hdrc: MUSB HDRC host driver [ 63.630737] musb_hdrc musb_hdrc: new USB bus registered, assigned bus number 1 [ 63.630920] usb usb1: New USB device found, idVendor=1d6b, idProduct=0002 [ 63.630950] usb usb1: New USB device strings: Mfr=3, Product=2, SerialNumber=1 [ 63.631011] usb usb1: Product: MUSB HDRC host driver [ 63.631042] usb usb1: Manufacturer: Linux 2.6.32 musb-hcd [ 63.631072] usb usb1: SerialNumber: musb_hdrc [ 63.631713] hub 1-0:1.0: USB hub found [ 63.631774] hub 1-0:1.0: 1 port detected [ 63.632843] NET: Registered protocol family 2 [ 63.633117] IP route cache hash table entries: 4096 (order: 2, 16384 bytes) [ 63.633758] TCP established hash table entries: 16384 (order: 5, 131072 bytes) [ 63.634185] TCP bind hash table entries: 16384 (order: 4, 65536 bytes) [ 63.634399] TCP: Hash tables configured (established 16384 bind 16384) [ 63.634460] TCP reno registered [ 63.634460] UDP hash table entries: 256 (order: 0, 4096 bytes) [ 63.634521] UDP-Lite hash table entries: 256 (order: 0, 4096 bytes) [ 63.634796] NET: Registered protocol family 1 [ 63.635253] RPC: Registered udp transport module. [ 63.635284] RPC: Registered tcp transport module. [ 63.635314] RPC: Registered tcp NFSv4.1 backchannel transport module. [ 63.635620] Trying to unpack rootfs image as initramfs... [ 63.638366] rootfs image is not initramfs (no cpio magic); looks like an initrd [ 64.329772] Freeing initrd memory: 131072K [ 64.330596] omap-iommu omap-iommu.0: isp registered [ 64.332427] VFS: Disk quotas dquot_6.5.2 [ 64.332550] Dquot-cache hash table entries: 1024 (order 0, 4096 bytes) [ 64.333648] squashfs: version 4.0 (2009/01/31) Phillip Lougher [ 64.334503] JFFS2 version 2.2. (NAND) (SUMMARY) �© 2001-2006 Red Hat, Inc. [ 64.335388] msgmni has been set to 874 [ 64.339263] alg: No test for stdrng (krng) [ 64.339599] Block layer SCSI generic (bsg) driver version 0.4 loaded (major 254) [ 64.339660] io scheduler noop registered [ 64.339691] io scheduler deadline registered [ 64.339843] io scheduler cfq registered (default) [ 64.399200] OMAP DSS rev 2.0 [ 64.399291] OMAP DISPC rev 3.0 [ 64.399353] OMAP VENC rev 2 [ 64.399597] OMAP DSI rev 1.0 [ 64.736145] Serial: 8250/16550 driver, 4 ports, IRQ sharing enabled [ 64.753906] serial8250.0: ttyS0 at MMIO 0x4806a000 (irq = 72) is a ST16654 [ 64.770965] serial8250.1: ttyS1 at MMIO 0x4806c000 (irq = 73) is a ST16654 [ 64.788024] serial8250.2: ttyS2 at MMIO 0x49020000 (irq = 74) is a ST16654 [ 65.388000] console [ttyS2] enabled [ 65.398284] brd: module loaded [ 65.404693] loop: module loaded [ 65.409210] omap2-nand driver initializing [ 65.413635] NAND device: Manufacturer ID: 0x20, Chip ID: 0xba (ST Micro NAND 256MiB 1,8V 16-B) [ 65.423004] cmdlinepart partition parsing not available [ 65.428314] Creating 5 MTD partitions on "omap2-nand": [ 65.433532] 0x000000000000-0x000000080000 : "X-Loader" [ 65.439910] 0x000000080000-0x000000260000 : "U-Boot" [ 65.446380] 0x000000260000-0x000000280000 : "U-Boot Env" [ 65.452484] 0x000000280000-0x000000680000 : "Kernel" [ 65.459594] 0x000000680000-0x000010000000 : "File System" [ 65.553619] usbcore: registered new interface driver catc [ 65.559143] catc: v2.8:CATC EL1210A NetMate USB Ethernet driver [ 65.565246] usbcore: registered new interface driver kaweth [ 65.570861] pegasus: v0.6.14 (2006/09/27), Pegasus/Pegasus II USB Ethernet driver [ 65.578552] usbcore: registered new interface driver pegasus [ 65.584289] rtl8150: v0.6.2 (2004/08/27):rtl8150 based usb-ethernet driver [ 65.591308] usbcore: registered new interface driver rtl8150 [ 65.597137] usbcore: registered new interface driver asix [ 65.602691] usbcore: registered new interface driver cdc_ether [ 65.608673] usbcore: registered new interface driver dm9601 [ 65.614410] usbcore: registered new interface driver smsc95xx [ 65.620330] usbcore: registered new interface driver gl620a [ 65.626037] usbcore: registered new interface driver net1080 [ 65.631835] usbcore: registered new interface driver plusb [ 65.637481] usbcore: registered new interface driver rndis_host [ 65.643554] usbcore: registered new interface driver cdc_subset [ 65.649627] usbcore: registered new interface driver zaurus [ 65.655334] usbcore: registered new interface driver MOSCHIP usb-ethernet driver [ 65.663452] ehci_hcd: USB 2.0 'Enhanced' Host Controller (EHCI) Driver [ 65.670349] ehci-omap ehci-omap.0: OMAP-EHCI Host Controller [ 65.676391] ehci-omap ehci-omap.0: new USB bus registered, assigned bus number 2 [ 65.684020] ehci-omap ehci-omap.0: irq 77, io mem 0x48064800 [ 65.703857] ehci-omap ehci-omap.0: USB 2.0 started, EHCI 1.00 [ 65.709777] usb usb2: New USB device found, idVendor=1d6b, idProduct=0002 [ 65.716674] usb usb2: New USB device strings: Mfr=3, Product=2, SerialNumber=1 [ 65.723999] usb usb2: Product: OMAP-EHCI Host Controller [ 65.729370] usb usb2: Manufacturer: Linux 2.6.32 ehci_hcd [ 65.734832] usb usb2: SerialNumber: ehci-omap.0 [ 65.740142] hub 2-0:1.0: USB hub found [ 65.744018] hub 2-0:1.0: 3 ports detected [ 65.774261] Initializing USB Mass Storage driver... [ 65.779357] usbcore: registered new interface driver usb-storage [ 65.785461] USB Mass Storage support registered. [ 65.790496] mice: PS/2 mouse device common for all mice [ 65.796173] input: gpio-keys as /devices/platform/gpio-keys/input/input0 [ 65.803985] input: twl4030_pwrbutton as /devices/platform/i2c_omap.1/i2c-1/1-0049/twl4030_pwr1 [ 65.814758] i2c /dev entries driver [ 65.818878] Linux video capture interface: v2.00 [ 65.823852] omap-iommu omap-iommu.0: isp: version 1.1 [ 65.830261] vpfe_init [ 65.833129] OMAP Watchdog Timer Rev 0x31: initial timeout 60 sec [ 65.946441] mmci-omap-hs mmci-omap-hs.1: err -16 configuring card detect [ 65.953521] Registered led device: beagleboard::usr0 [ 65.958679] Registered led device: beagleboard::usr1 [ 65.965240] Registered led device: beagleboard::pmu_stat [ 65.972290] usbcore: registered new interface driver usbhid [ 65.977966] usbhid: USB HID core driver [ 65.982025] Advanced Linux Sound Architecture Driver Version 1.0.21. [ 65.988983] usbcore: registered new interface driver snd-usb-audio [ 66.071624] usb 2-2: new high speed USB device using ehci-omap and address 2 [ 66.079406] No device for DAI omap-mcbsp-dai-0 [ 66.083892] No device for DAI omap-mcbsp-dai-1 [ 66.088439] No device for DAI omap-mcbsp-dai-2 [ 66.092926] No device for DAI omap-mcbsp-dai-3 [ 66.097442] No device for DAI omap-mcbsp-dai-4 [ 66.101959] OMAP3 Beagle SoC init [ 66.106170] asoc: twl4030 <-> omap-mcbsp-dai-0 mapping ok [ 66.117706] ALSA device list: [ 66.120788] #0: omap3beagle (twl4030) [ 66.124755] oprofile: using arm/armv7 [ 66.128723] TCP cubic registered [ 66.132019] NET: Registered protocol family 17 [ 66.136566] NET: Registered protocol family 15 [ 66.141174] lib80211: common routines for IEEE802.11 drivers [ 66.146942] ThumbEE CPU extension supported. [ 66.151275] Power Management for TI OMAP3. [ 66.156707] Unable to set L3 frequency (400000000) [ 66.161682] Switched to new clocking rate (Crystal/Core/MPU): 26.0/332/1000 MHz [ 66.169067] IVA2 clocking rate: 800 MHz [ 66.344482] SmartReflex driver initialized [ 66.348724] omap3beaglelmb: Driver registration complete [ 66.359527] VFP support v0.3: implementor 41 architecture 3 part 30 variant c rev 3 [ 66.367919] registered taskstats version 1 [ 66.372772] fbcvt: 1024x768@60: CVT Name - .786M3-R [ 66.469940] usb 2-2: New USB device found, idVendor=0424, idProduct=9514 [ 66.476715] usb 2-2: New USB device strings: Mfr=0, Product=0, SerialNumber=0 [ 66.484710] hub 2-2:1.0: USB hub found [ 66.495269] Console: switching to colour frame buffer device 128x48 [ 66.510955] hub 2-2:1.0: 5 ports detected [ 66.515319] regulator_init_complete: incomplete constraints, leaving VAUX3 on [ 66.523101] regulator_init_complete: incomplete constraints, leaving VDAC on [ 66.531372] omap_vout omap_vout: probed for an unknown device [ 66.537597] RAMDISK: gzip image found at block 0 [ 66.689514] mmc0: new high speed SDHC card at address 1234 [ 66.704162] mmcblk0: mmc0:1234 SA04G 3.67 GiB [ 66.709045] mmcblk0: p1 p2 [ 66.813720] usb 2-2.1: new high speed USB device using ehci-omap and address 3 [ 66.948272] usb 2-2.1: New USB device found, idVendor=0424, idProduct=ec00 [ 66.962493] usb 2-2.1: New USB device strings: Mfr=0, Product=0, SerialNumber=0 [ 66.980651] smsc95xx v1.0.4 [ 67.065887] usb0: register 'smsc95xx' at usb-ehci-omap.0-2.1, smsc95xx USB 2.0 Ethernet, 2a:c6 [ 69.194335] VFS: Mounted root (ext2 filesystem) on device 1:0. [ 69.202972] devtmpfs: mounted [ 69.208465] Freeing init memory: 204K INIT: version 2.86 booting Please wait: booting... Starting udev [ 71.612976] FAT: bogus number of reserved sectors [ 71.620300] VFS: Can't find a valid FAT filesystem on dev mmcblk0. [ 72.069458] FAT: bogus number of reserved sectors [ 72.076873] VFS: Can't find a valid FAT filesystem on dev mmcblk0p2. Remounting root file system... Caching udev devnodes Populating dev cache Configuring network interfaces... ifconfig: SIOCGIFFLAGS: No such device udhcpc (v1.13.2) started Sending discover... Sending discover... Sending discover... No lease, forking to background done. Setting up IP spoofing protection: rp_filter. hwclock: can't open '/dev/misc/rtc': No such file or directory Fri Aug 20 20:54:00 UTC 2010 hwclock: can't open '/dev/misc/rtc': No such file or directory Configuring update-modules. Configuring ti-dsplink-module. Configuring ti-lpm-module. Configuring util-linux-ng. update-alternatives: Linking //bin/dmesg to dmesg.util-linux-ng update-alternatives: Linking //bin/kill to kill.util-linux-ng update-alternatives: Linking //bin/more to more.util-linux-ng update-alternatives: Linking //sbin/mkswap to mkswap.util-linux-ng update-alternatives: Linking //sbin/pivot_root to pivot_root.util-linux-ng update-alternatives: Linking //sbin/sln to sln.util-linux-ng update-alternatives: Linking //sbin/mkfs.minix to mkfs.minix.util-linux-ng update-alternatives: Linking //sbin/fsck.minix to fsck.minix.util-linux-ng update-alternatives: Linking //usr/bin/hexdump to hexdump.util-linux-ng update-alternatives: Linking //usr/bin/last to last.sysvinit update-alternatives: Linking //usr/bin/logger to logger.util-linux-ng update-alternatives: Linking //usr/bin/mesg to mesg.sysvinit update-alternatives: Linking //usr/bin/renice to renice.util-linux-ng update-alternatives: Linking //usr/bin/wall to wall.sysvinit update-alternatives: Linking //usr/bin/chfn to chfn.util-linux-ng update-alternatives: Linking //usr/bin/newgrp to newgrp.util-linux-ng update-alternatives: Linking //usr/bin/chsh to chsh.util-linux-ng update-alternatives: Linking //bin/login to login.util-linux-ng update-alternatives: Error: not linking //sbin/vipw to vipw.util-linux-ng since //sbin/vipw exisk update-alternatives: Linking //sbin/vigr to vigr.util-linux-ng update-alternatives: Linking //usr/bin/reset to reset.util-linux-ng update-alternatives: Linking //usr/bin/setsid to setsid.util-linux-ng update-alternatives: Linking //usr/bin/chrt to chrt.util-linux-ng update-alternatives: Linking //sbin/hwclock to ../bin/busybox update-alternatives: Linking //sbin/shutdown to shutdown.sysvinit update-alternatives: Linking //sbin/reboot to reboot.sysvinit update-alternatives: Linking //sbin/halt to halt.sysvinit INIT: Entering runlevel: 5 Creating Dropbear SSH server RSA host key. Will output 1024 bit rsa secret key to '/etc/dropbear/dropbear_rsa_host_key' Generating key, this may take a while... Public key portion is: ssh-rsa AAAAB3NzaC1yc2EAAAADAQABAAAAgwCsp0wqVvuYldFAJsAYYb7Kac8JeLLem+H8y+3bEFnfSFl1odRc LmK+kNYWd Fingerprint: md5 9b:e8:30:5e:85:66:f1:fc:4b:2d:94:95:53:30:25:da Starting Dropbear SSH server: dropbear. Starting syslogd/klogd: done .-------. | | .-. | | |-----.-----.-----.| | .----..-----.-----. | | | __ | ---'| '--.| .-'| | | | | | | | |--- || --'| | | ' | | | | '---'---'--'--'--. |-----''----''--' '-----'-'-'-' -' | '---' The Angstrom Distribution beagleboard ttyS2 Angstrom 2010.7-test-20100820 beagleboard ttyS2 beagleboard login: root Прогнал тесты, вроде все работает, сейчас пробую запустить Ubuntu 10.10. (https://wiki.ubuntu.com/ARM/OMAPMaverickInstall)
  11. Схемкой не поделитесь? Интересует где перемычки ставили.
  12. Наверное можно. Корпус пока не пришел.
  13. Так экран с тач-скрином, не отвалиться во время нажатий?
  14. Нужно закрепить в корпусе "OKW Interface-Terminal" (в Cover L планирую вырезать отверстие по размерам видимой области) индустриальный 8.9" LCD, B089AW01 с сенсорным экраном. Какие есть варианты крепления? До сих пор с такой проблемой не сталкивался, поэтому прошу помочь советом.
×
×
  • Создать...