Перейти к содержанию
    

DisaPV

Участник
  • Постов

    12
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный

Информация о DisaPV

  • День рождения 20.04.1978

Контакты

  • Сайт
    Array
  • ICQ
    Array
  1. прорвался, но споткнулся на команде make socfpga_defconfig выдает ошибку
  2. Linux я не селен но не получается :( я прошу дайте другое направления через винду
  3. git clone https://github.com/coliby/terasic_MTL.git эту команду Linux не понимает это раз а основное что мне не понятно а можно ли создать это все под виндой и как это все подвизать к DS-5
  4. Хорошая статья только я не понял как делать Выкачиваем ядро: git clone https://github.com/coliby/terasic_MTL.git
  5. Написано, наверно, но я ни чего не понимаю что там. Перефразирую вопрос мне документация для Чайников
  6. У меня есть тестировачная плата VEEK-MT-SoCKit с кристаллом 5CSXFC6D6F31 на борту у него Dual-core ARM Cortex-A9 (HPS). Пытаюсь разобраться как к нему подступится про DS-5 я знаю, но я не понимаю с чего начинать и куда двигаться. То есть что нужно создавать в QSYS и Quartus 15.1. Как связать их с DS-5. Если кто может подсказать пошаговую инструкцию или документацию с примерами (желательно с картинками) пожалуйста подскажите или напишите. С процессором NIOS II я работал и имею опыт
  7. Точно, все заработало. Огромное спасибо
  8. Частота 100Мгц ресет я потом подцепил (а то проц не запускался)
  9. Не помогло выкладываю картинки это настройка QSYS это рапорт там есть три варинга, но не могу понять счем они связанны это настройка ЦПУ #include <stdio.h> #include "epcs_commands.h" #include "system.h" int main() { printf("Hello from Nios II!\n"); alt_printf ("EPCS_FLASH_CONTROLLER_0_BASE=0x%x\n",EPCS_FLASH_BASE); alt_printf ("d=%x\n",epcs_read_device_id(EPCS_FLASH_BASE)); printf("END\n"); return 0; } и код Это то что выводит консоль это в самом Quartus
  10. попробую заново создать проект
  11. Выводит: Start EPCS_BASE = 0x21000 и зависает база соответствует тому что прописано в system.h
  12. Всем привет. Такая есть проблема, не могу через Си в NIOS2 ДОСТУЧАТСЯ да EPCS16 Исходные данные: -в QSYS (Quartus 13.0) добавил контроллер - в Dual-Purpose Pins выставил все пены в Use ar reqular I/O - контролер с EPCS запускается - поставил SignalTap, что бы посмотреть как ходят сигналы data, sdo, dclk, sce триггер поставил на dclk по фронту Не работает следующий код на Си #include "epcs_commands.h" int main() { alt_printf ("Start\n"); alt_printf ("d=%x\n",epcs_read_device_id(EPCS_BASE)); alt_printf ("End\n"); while (1) ; return 0; } Контроллер просто зависает. Сообщение "Start" выводит, а дольше ничего. Триггер не срабатывает Кто может подсказать в чем проблема.
×
×
  • Создать...