Перейти к содержанию
    

ISE 6.3 глюки

Установил тут SP 6_3_03i_pc.exe, и эта скотина Xilinx Editor не позволяет редактировать файлы, а точнее сохранить их нельзя, у кого-нибудь такой глюк наблюдается? Чего сделали с ним?

было до этого 6_3_01i_pc,

6_3_02i_pc я не устанавливал

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Установил тут SP 6_3_03i_pc.exe, и эта скотина Xilinx Editor не позволяет редактировать файлы, а точнее сохранить их нельзя, у кого-нибудь такой глюк наблюдается? Чего сделали с ним?

было до этого 6_3_01i_pc,

6_3_02i_pc я не устанавливал

 

У мения стоит ISE 6.3 c SP6.3_03i все работает как часы. Возможно папка в которую сохроняется ваш проект только для чтения. Деактевируйте это свойство папки. Должно все заработать.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

После нескольких лет работы на Foundation Base 4.1, ISE кажется одной большой ошибкой. А деваться некуда!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Зато в схемном редакторе можно было рисовать. Он хоть был не идеален, но рисовать можно было, в отличие от ECS...

А вообще, я так понимаю, всё дело привычки. Мне, например, сегодня, начал потихоньку нравиться EDK 6.2. :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Здравствуйте!

 

Тут возникла такая неожиданная проблема (видимо с ISE 6.3, но не исключено что и с mozg.drv конечно)

 

Имеется следующая vhdl модель:

входная шина, которая идет на буфер, затем на выход.

 

Timing simulation (Active hdl 6.2 sp1) при назначении стимулятора входной шины формулой (к примеру 0000 0 ns, 0001 120 ns, 0010 140 ns, 0100 160 ns)

в результате на выходе получается что попало (ХХХХ)

 

однако же если стимулятор для шины - какой-либо рандомный сигнал (i.e. Random distribution) выход дает то что было дано на входе.

 

Использую Synplify Pro 7.6 + ISE 6.3 (патченый)

 

При замене ISE 6.3 на Foundation 4. - все нормально. (Собственно вся эта свистопляска и началась при переходе с Ф4 на ИСЕ 6.3)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Насколько я помню, в стимуляторах по умолчанию десятичная система (причем без криков о переполнении). Т.е. у тебя получается идут последовательно числа 0, 1, 10, 100. А шине назначаются "0000", "0001", "1010", "0100" - т.е. четыре младших бита.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Насколько я помню, в стимуляторах по умолчанию десятичная система (причем без криков о переполнении). Т.е.  у тебя получается идут последовательно числа 0, 1, 10, 100. А шине назначаются "0000", "0001", "1010", "0100" - т.е. четыре младших бита.

 

Даже если вы и правы, то по моему на выходе должно быть просто не то, что на входе, а никак не неизвестные состояния (ХХХХ).

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Насколько я помню, в стимуляторах по умолчанию десятичная система (причем без криков о переполнении). Т.е.  у тебя получается идут последовательно числа 0, 1, 10, 100. А шине назначаются "0000", "0001", "1010", "0100" - т.е. четыре младших бита.

 

 

Тут по умолчанию - hex, и перестановка на бинарный на результат не повлияло , как были ХХХ так и остались.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А у вас CVS какая-нибудь не стоит? Если - да сделайте ChekOut

Атрибуты Файла какие?

 

Тут вот что получилось,

при загрузке сдф в Active-HDLьный симулятор, он ругается на отсутствие довольно большого количества generics, типа

 

# SDF: Error: ppr_sim.sdf(151050):Generic /MDL_BU98/tperiod_CLK_posedge not found

 

которые, как я думал, относились к незадействованным сигналам (?)

 

решил я то же самое проделать в ModelSim (5.8c, SE) - соответственно сгенерил post p&r simulation model, для моделсима, сделал тестбеньч, - все работает.

 

атрибуты файла - всмысле? не Read Only, ничего такого. Стоит SourceSafe, но она ни коим боком к этой папке отношения не имеет.

 

Мне почему-то кажется, что либо ИСЕ выдает "неправильную" (для Актив-ХДЛ)post p&r simulation model (насколько я понял, установка в настройках "Generic VHDL" должна для Актив-ХДЛа вполне подходить), либо Актив-ХДЛ ее "неправильно" воспринимает.

Хотя в таком случае Активно-ХДЛовский симулятор должен был сразу меня бы посылать, а не выдавать ожидаемый выход при рандомном стимуляторе входа.

 

Поделитесь мудростью своя, граждане, ибо терпеть МоделСимовский дружелюбный интерфейс сил больше не остается.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Все любопытственней и любопытственней.

 

Симуляция того же самого (testbench + uut + sdf) в Актив-ХДЛ дает примерно те же (нормально-ожидаемые) результаты, что и в МоделСим.

 

Попытка проставить свой стимулятор из-под симулятора (а не в тестбенче) -

дает примерно то же, что и было при проставлении своих стимуляторов - т.е. ХХХХ и косячество.

 

 

нервно смеясь, уходит в Астрал

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...