Перейти к содержанию
    

Инициализация массива векторов, VHDL

Здравствуйте. В VHDL можно сделать так:

 

type my_type is array (0 to 5) of std_logic_vector (5 downto 0);
signal my_array : my_type;

 

 

И потом, инициализировать нулями, например:

 

my_array <= (others=>(others=>'0'));

Так же можно сделать с единицами. Но если мне надо, например, записать во все сигнала массива "000111" - как это сделать?

 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Так же можно сделать с единицами. Но если мне надо, например, записать во все сигнала массива "000111" - как это сделать?

например, так:

 

my_array <= (others=>(5 | 4 | 3 => '0', others=>'1'));
my_array <= (others=>(5 downto 3 => '0', 2 downto 0 => '1'));

и т.д.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...