Перейти к содержанию
    

predator

Участник
  • Постов

    43
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный

Информация о predator

  • Звание
    Участник
    Участник
  • День рождения 15.06.1981

Контакты

  • Сайт
    Array
  • ICQ
    Array

Информация

  • Город
    Array
  1. перегенерил макрос с rden, вообще отключаю чтение на время прогрузки - не полегчало... updt: я тормоз вот это меня подвело и сутки в напряжении держало: wrclock =>clk_out,
  2. library IEEE; use IEEE.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity mem_buf_kadr is port ( -- in side DIN : in std_logic_vector (15 downto 0); WR : in std_logic; clk_in : in std_logic; adr_str_in : std_logic_vector (8 downto 0); --- out side clk_out : in std_logic; DOUT : out std_logic_vector (15 downto 0); adr_str_out : in std_logic_vector (8 downto 0); adr_col_out : in std_logic_vector (8 downto 0); -- debug deb_dat : out std_logic_vector (7 downto 0) ); end mem_buf_kadr; architecture mem_buf_kadr_a of mem_buf_kadr is -- signal signal ct_pix: std_logic_vector(8 downto 0):=(others=>'0'); signal adr_col_in: std_logic_vector(8 downto 0):=(others=>'0'); signal wrz,wr0,wr1,wr2: std_logic; signal DOUT0,DOUT1,DOUT2: std_logic_vector(15 downto 0):=(others=>'0'); component block_ozu IS PORT ( data : IN STD_LOGIC_VECTOR (15 DOWNTO 0); rdaddress : IN STD_LOGIC_VECTOR (8 DOWNTO 0); rdclock : IN STD_LOGIC; wraddress : IN STD_LOGIC_VECTOR (8 DOWNTO 0); wrclock : IN STD_LOGIC := '1'; wren : IN STD_LOGIC := '0'; q : OUT STD_LOGIC_VECTOR (15 DOWNTO 0) ); END component; begin u0:block_ozu port map ( rdaddress (8 downto 0) =>adr_col_out, rdclock =>clk_out, q =>DOUT0, data => "0010000000000000",--DIN, wraddress(8 downto 0) =>adr_col_in, wrclock =>clk_out, wren =>WR ); --u1:ram_kadr --port map ( -- data =>DIN, -- rdaddress (8 downto 0)=>adr_col_out, -- rdaddress (15 downto 9)=>adr_str_out(6 downto 0), -- rdclock =>clk_out, -- -- wraddress (8 downto 0)=>adr_col_in, -- wraddress (15 downto 9)=>adr_str_in(6 downto 0), -- wrclock =>clk_out, -- wren =>WR1, -- q =>DOUT1 -- ); --u2:ram_kadr --port map ( -- data =>DIN, -- rdaddress (8 downto 0)=>adr_col_out, -- rdaddress (15 downto 9)=>adr_str_out(6 downto 0), -- rdclock =>clk_out, -- -- wraddress (8 downto 0)=>adr_col_in, -- wraddress (15 downto 9)=>adr_str_in(6 downto 0), -- wrclock =>clk_out, -- wren =>WR2, -- q =>DOUT2 -- ); process (clk_in) begin if (clk_in'event and clk_in='1') then if wr='1' then if ct_pix="101111111" then ct_pix<=(others=>'0'); else -- ct_pix="101111111" ct_pix<=ct_pix+1; end if; -- ct_pix="101111111" end if; --wr=1 --wrz<=wr; end if; --(clk'event and clk='1') end process; adr_col_in<=ct_pix; --wr0<=wr and (not adr_str_in(8)) and (not adr_str_in(7)); --wr1<=wr and (not adr_str_in(8)) and (adr_str_in(7)); --wr2<=wr and (adr_str_in(8)) and (not adr_str_in(7)); process (clk_out) begin if (clk_out'event and clk_out='1') then if (adr_str_out(8 downto 7)="00") then DOUT<=DOUT0; elsif (adr_str_out(8 downto 7)="01") then DOUT<="0000000000000000";--DOUT1; else DOUT<="0000000000000000";--DOUT2; end if; -- adr_str_out end if; --clk end process; deb_dat<=adr_col_in(8 downto 1); end mem_buf_kadr_a; проверяю в железе, в симуляторе всё красиво. память двухклоковая, если верить датащиту Read first и write first вообще не существует, может быть параметр на тему что читать old data или Don’t Care, да и то такую настройку у генератора я не нашел... P.S. первый раз с квартусом развлекаюсь, у меня 15.1
  3. чтобы не плодить темы, попрошу помощи здесь: имею Cyclon5, в нём генеренную двухпортовую ОЗУ на одном модуле m10k. один порт для записи: тактовая частота 60 МГц, пишется константа (докатился при урезании проекта), адрес по счетчику, записи единичные. т.е. идея такая: клоки тикают стационарно, изредка приходит сигнал WR (по переднему фронту клока взводится на один такт), заставляет записать константу в ОЗУ, и тот-же WR перщелкивает счетчик адреса (для следующей записи). второй порт для чтения, тактовая частота 44,25 МГц, читается по счетчику в цикле всё время (вот совсем всегда). суть проблемы - примерно 20% записей не проходит, счетчик перещелкивает, а запись не проходит, суть не в соревновании счетчик-запись, входной адрес я формировал отдельно, да и бывает по несколько пропущенных записей подряд.
  4. ну не скажите: мы недавно взяли человека, так он еще и опасался-нервничал-волновался, что не возьмут... у него просто возраст к полтинику приближается, а последние лет 6 ремонтником работал и опасался что его предыдущий опыт (лет 20) не пригодится... конечно технологии ушли, да и он подзабыл всего добра- но это лучший специалист, принятый за последние 5 лет.
  5. Это месть москалям - любителям дефолтов.
  6. причина убрать возраст, пол, национальность, религию ... из обьявлений о работе - новое законадательство, которое не запрещает вам уже на месте отшить неугодных.
  7. поясню, что вы написали не так: Требуется монтажник для ремонта PCB - это значит, что надо ремонтировать текстолитовую подложку с медными дорожками. бывает и такое.... из дальнейшего контекста понятно что это не так. выявить заводской брак перестановкой деталей и затем отремонтировать - это значит, что есть неисправные устройства и есть мешок деталей, работник должен разобраться в схеме, натворить стендов и тестов (которые покажут полную исправность), и заменяя детали по одной, проверять полегчало - не полегчало. то, что у вас есть рабочая плата и вы сами будете тестировать стало понятно только из последнего поста. P.S. я хоть и не модератор, но неприлично элементы личной переписки выносить в общественность. недоговорились - ненадо - вопрос закрыт.
  8. Абсолютно согласен, я пока еще не в Питере, но в режиме шабашника или совместителя тоже было-бы интересно (правда сперва переехать и обжиться надо).
  9. по моему вполне логично не публиковать в открытом виде всю затею хотя-бы до её реализации. И всё, что можно открыть ТС уже открыл (разве-что частотный диапазон пульта можно спросить). сигнализацию с Keylock можно обучит на ту приколхоженую приблуду, которая в устройстве (В), доступ к обучению в данном случае есть. и кроме того есть вероятность того, что устройство (А) управляется не только пультом, но и каким-нибудь USB - Ethernet - GPIB..... тогда можно приколхозить управление-переходник Лично мне понятно, что дальше пора переходить на приватное общение, но т.к. я за это браться не буду - откланиваюсь.
  10. для начала поподробнее напишите что за пульт. пока не факт, что он инфракрасный (как у телевизоров), может быть радио (как у автосигналок), а может вообще на проводе.....
  11. тогда нужно приколхозить к второму устройству приемник сигналов с пульта (неизвестно еще что за пульт) и заточить его на команды первого устройства.
  12. Тут дело в том, что вы не на тот сайт обьявление написали, это вам на паяльник надо (http://forum.cxem.net) ну или еще куда, где электрически-настроенный народ, но попроще. Я например работу ЦОС-Плисовода с 10-летним опытом в этом разделе ищу.
  13. если-бы мне в студенческие времена предложили такое- я-бы пошел, по принципу хотьна пиво себе сам заработать. Там похоже работа не сложнее того, что я для развлечения на 4-5 курсах делал.
×
×
  • Создать...