Перейти к содержанию
    

the Devil incarnate

Участник
  • Постов

    20
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный

Информация о the Devil incarnate

  • Звание
    Участник
    Участник
  • День рождения 31.12.1985

Старые поля

  • Facebook
    Array
  • Vkontakte
    Array
  • Одноклассники
    Array

Контакты

  • Сайт
    Array
  • ICQ
    Array

Информация

  • Город
    Array
  1. Всем спасибо за ответы. Теперь более понятно, что и как. Буду теперь учиться.
  2. Как так? Как я всегда думал, сначала нужно сделать схему, потом плату развести, потом сделать железку, и только потом её программировать. Из вашего же сообщения понятно, что сначала я должен написать прошивку для плис, посмотреть сколько ресурсов мне для этого понадобится, и только потом рисовать схему и разводить плату? Потом, когда создаёшь проект в среде для ПЛИС, сначала выбираешь, какую ПЛИС ты программировать собрался и только потом создаёшь проект. Так что, пока не понятно.
  3. Всем привет. Только начинаю заниматься ПЛИС и в связи с этим возник вопрос. Везде пишут, что ПЛИС выбирается исходя из задачи. Вот хотелось бы узнать, как именно она выбирается? Что необходимо, чтобы правильно выбрать? Не хочется, например, по незнанию, ставить FPGA Artix-7 и платить немалые деньги за него, если там можно было поставить вообще CPLD-ку какую-нибудь самую простую.
  4. Всем привет. Только начинаю изучать VHDL и ПЛИС. Не могу понять разницу в работе двух кодов. В общем-то, они оба должны моргать светодиодом и всё с периодом в 1 с. Во втором случае, где нет сигнала RST, всё работает отлично. В первом же случае, работает чёрт пойми как, то моргнёт, то нет. entity led is Port ( clk_50MHz : in STD_LOGIC; L1_cathode : out STD_LOGIC); end led; architecture Behavioral of led is signal L1_count : std_logic_vector(25 downto 0) := (others => '0'); signal clk_1Hz : std_logic := '0'; signal L1_RST: std_logic := '0'; begin A: process(clk_50MHz, L1_RST, L1_count) begin if (clk_50MHz'event and clk_50MHz = '1') then L1_count <= L1_count + 1; if (L1_count = 50000000) then L1_count <= (others => '0'); L1_RST <= '1'; else L1_RST <= '0'; end if; end if; end process; B: process (L1_RST) begin if (L1_RST = '1') then clk_1Hz <= not clk_1Hz; end if; end process; L1_cathode <= clk_1Hz; end Behavioral; entity led is Port ( clk_50MHz : in STD_LOGIC; L1_cathode : out STD_LOGIC); end led; architecture Behavioral of led is signal L1_count : std_logic_vector(25 downto 0) := (others => '0'); signal clk_1Hz : std_logic := '0'; begin A: process(clk_50MHz, L1_count) begin if (clk_50MHz'event and clk_50MHz = '1') then L1_count <= L1_count + 1; if (L1_count = 50000000) then L1_count <= (others => '0'); clk_1Hz <= not clk_1Hz; end if; end if; end process; L1_cathode <= clk_1Hz; end Behavioral;
  5. Footprint

    Всем привет. Кто-нибудь знает, есть ли какой-нибудь стандарт футпринтов. А то в программе делаешь футпринт какого-нибудь элемента, смотришь, на одном сайте рекомендуют один размер падов, на другом сайте - другой. Вот и хочется узнать, может есть какой-то стандарт, чтобы не мудрить, делать по стандарту, и всё.
  6. Вот именно, что придумано. Только на данный момент я не понимаю, как изображение (любое, даже тестовое) вывести на экран. Какой код написать. Мне бы с этого начать. В теории то я всё понимаю, а вот как эту теорию в код превратить, не понимаю. Ещё раз повторюсь, что я только начинаю изучать ПЛИС. А идей-то полно, это же ПЛИС, практически неисчерпаемый инструмент. Твари что угодно.
  7. Прочитал всё вышенаписанное и понимаю, что никто не подключал LCD-шку к ПЛИСине, у всех, как и у меня, только какие-то абстрактные идеи и ничего конкретного. Ну, ничего не поделать! Придётся самому бурить эту скважину. Буду пробовать, конечно сначала какое-то тестовое изображение, может просто точку (хотя, она слишком маленькая, на мой взгляд, и её можно не заметить), а потом уже и с динамикой можно разобраться. Главное, понять принцип, что писать, куда писать и как. А этого-то пока и не понятно. Видимо, сказывается нехватка знаний в области языка VHDL.
  8. Всем привет. Только начинаю изучать ПЛИС, в наличии Spartan 3E на отладочной плате. Хочется запустить LCD-дисплей, такой вот. Написал на VHDL все пункты инициализации, погонял на симуляторе, всё, вроде, работает. Осталось только один пункт выполнить, а именно, вывод изображения по SPI. Сам SPI уже организовал. А как изображение описать на VHDL, не знаю. Может кто знает?
  9. Точно? Через пару недель буду на работе, попробую.
  10. Народ, кто создавал в альтиуме файл сверловки, может мне подсказать, можно ли задать координаты в выходном файле в единицах измерения отличающихся от дюймов и миллиметров. У меня станок с ЧПУ на работе заточен был под PCAD и Eagle, и в файле сверловки, который он хавает, координаты в милах. А я работаю в альтиуме, и теперь со сверлением у меня проблемы.
  11. step не пойдёт, он не поддерживает прозрачность слоёв. А как известно, маска в альтиуме задаётся прозрачностью слоя. А вот над первым примером можно подумать
  12. да, но нормальную плату печатную вы как там получите?
  13. А можно ли создать видео, чтобы элемент какой-то с платы уехал в сторону, потом встал на место? Например, общий вид прибора, затем верхняя крышка прибора убирается, камера приближается, рассматриваем, что внутри устройства. Потом камера отъезжает и крышка ставится обратно.
  14. У меня видео получилось довольно неплохое. Попробуй увеличить разрешение создаваемого видео, и попробуй различные форматы и кодеки к ним повыбирай из списка различные.
×
×
  • Создать...