Перейти к содержанию
    

Вопрос по SystemVerilog

Меня тут спросил один товарищ как на верилоге реализовать конструкцию VHDL:

BYTE <=  (7 => '1', 5 downto 3 => '1', 6 => B_BIT, others => '0');

Такая конструкция работает:

logic [7:0] test_vector;
assign test_vector  = '{7:1'b1, 5:1'b1, default:0};

А вот присвоить нескольким битам сразу - нет:

assign test_vector  = '{7:1'b1, [5:3]:3'b101, default:0};

 

Да, я знаю про конкатенацию и последовательные блокирующие присваивания, но вопрос именно про присваивание типа

'{}

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Неужели так трудно найти соответствующий раздел форума?

http://electronix.ru/forum/index.php?showforum=16

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Меня тут спросил один товарищ как на верилоге реализовать конструкцию VHDL:

BYTE <=  (7 => '1', 5 downto 3 => '1', 6 => B_BIT, others => '0');

Такая конструкция работает:

logic [7:0] test_vector;
assign test_vector  = '{7:1'b1, 5:1'b1, default:0};

А вот присвоить нескольким битам сразу - нет:

assign test_vector  = '{7:1'b1, [5:3]:3'b101, default:0};

 

Да, я знаю про конкатенацию и последовательные блокирующие присваивания, но вопрос именно про присваивание типа

'{}

 

image.jpg

 

Может, это как-то поможет

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...