Перейти к содержанию
    

MaratZuev

Свой
  • Постов

    484
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный

Информация о MaratZuev

  • Звание
    Learn for good
    Местный
  • День рождения 07.10.1974

Информация

  • Город
    Array

Retained

  • Звание
    Array

Посетители профиля

2 377 просмотров профиля
  1. Забавно: я всю жизнь сидел на Альтере, а теперь на новой работе сплошной Ксайлинск, и это мне очень по душе .. А то, как пел Виктор Цой, "застоялся мой поезд в депо.." Посмотрите мои скрипты в скрепке: может, что из них возьмёте? Прилагаю также вводную, написанную именно по этой теме: если Вам или кому пригодится - буду только рад. Да, и если нужна прямо помощь по Вашему проекту - пишите и звоните: мои координаты Вы найдёте в вышеупомянутых скриптах. Буду раз, если Вы меня взаимовыгодно Ксайлинску обучите ) coder.zip Шарапов А.А. Автоматизация функционального моделирования.pdf
  2. Я не понимаю, у кого что наболело, но ТС спрашивал совершенно о другом. А стандарт уже проходит второе чтение, и пока что ТС не увидел никаких здравых мыслей здесь за редким исключением. Поэтому мишка предлагает обсуждение закрыть и поблагодарить всех зверят (коих очень мало), высказавшихся по делу так и тех (которых большинство), кто высказался не по делу. Что насчёт правил: есть правило высказываться по существу, и тот, кто этого не делает, имеет полное право рассчитывать на адекватную реакцию. За сим разрешите откланяться, и более не принимать участие в этом, мне не нужном обсуждении.
  3. Это - однозначно вредная вещь, т.к. ни один пакет моделирования не будет работать с нестандартизированной схемой. Скажите: в вашей организации Э3 разводят кто где хочет, не придерживаясь никаких ГОСТов и прочего? Или вы вообще на аутсорсе работаете. И да, если у вас только вы один разработчик, то вопросов нет, в противном же случае. Мы сто лет жили как вы описываете, пришло время причёсывать мешанину. Я, как ТС, говорю о стандартизации, и все, включая вашу, попытку дезориентировать меня заранее обречены на полнейший провал (с) Е. Летов.
  4. Л- Логика. Спасибо и на этом. Если это было здесь, то ваш пост проглядел. Приношу за то свои извинения.
  5. Я уже читал это на форуме и там же отвечал (про ненужные нам контакты тоже): предлагаю сказку про белого бычка не рассказывать. Хотя, раз вы такой настойчивый, ответьте (ещё раз): у вас есть ОФИЦИАЛЬНАЯ бумага, что вы ОФИЦИАЛЬНО купили ПО именно на ВАШУ ОРГАНИЗАЦИЮ? Если ответ НЕТ - давайте закроем этот нам не нужный гештальт. Если ответ ДА - давайте продолжим.
  6. Ну наконец-то пошли комменты по делу. Огромное спасибо двум последним комментаторам.
  7. Во, и меня понемногу достаёт. Подсаживаюсь на постоянный приём Норипрел-А Ви форте. Других выходов, похоже, нет. Пока ничего не подозреваю. Только что сдал ПЦР, в предверие вакцинации. Вот это правильно - тоже также поступаю ))) Привет Туле от Зеленограда! )))
  8. Знаю, скачивал, поставил, снёс. HLD Designer нам не нужен - см. ПС к исходному посту: Mentor и Aldec - конкуренты. Их обоих используем только для классификации инструмента, если понятно, о чём я. К нам не применимо: после покупки Сименсом Ментора последний стал для нас недосягаем.
  9. Конечно, помогут, коль подсказали, куда глядеть: puts [exec jtagconfig --serverinfo] results in d:\>set QuartusPath=d:\Altera\13.0sp1\quartus\bin64 d:\>d:\Altera\13.0sp1\quartus\bin64\quartus_sh -t QuartusJTAGServerInfo.tcl Info: ******************************************************************* Info: Running Quartus II 64-Bit Shell Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version Info: Copyright (C) 1991-2013 Altera Corporation. All rights reserved. Info: Your use of Altera Corporation's design tools, logic functions Info: and other software and tools, and its AMPP partner logic Info: functions, and any output files from any of the foregoing Info: (including device programming or simulation files), and any Info: associated documentation or information are expressly subject Info: to the terms and conditions of the Altera Program License Info: Subscription Agreement, Altera MegaCore Function License Info: Agreement, or other applicable license agreement, including, Info: without limitation, that your use is for the sole purpose of Info: programming logic devices manufactured by Altera and sold by Info: Altera or its authorized distributors. Please refer to the Info: applicable agreement for further details. Info: Processing started: Mon Nov 08 19:11:27 2021 Info: Command: quartus_sh -t QuartusJTAGServerInfo.tcl Installed JTAG server is 'D:\Altera\18.1\SE\quartus\bin64\jtagserver.exe' Service manager reports server is running Server reports path: D:\Altera\18.1\SE\quartus\bin64\jtagserver.exe Server reports version: Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition Remote clients are disabled (no password) Info (23030): Evaluation of Tcl script QuartusJTAGServerInfo.tcl was successful Info: Quartus II 64-Bit Shell was successful. 0 errors, 0 warnings Info: Peak virtual memory: 4309 megabytes Info: Processing ended: Mon Nov 08 19:11:29 2021 Info: Elapsed time: 00:00:02 Info: Total CPU time (on all processors): 00:00:00
  10. Что там с Вами? Надеюсь, не модная нынче болезнь? Скрипты не мои, а уважаемого StewartLittle (коего прошу простить, авторство попутал), моя только обёртка, которая без его скриптов - ничто
  11. Намёк ясен, спасибо. Просьба пнуть далее: QuartusJTAGServerInfo.bat set QuartusPath=d:\Altera\13.0sp1\quartus\bin64 %QuartusPath%\quartus_sh -t QuartusJTAGServerInfo.tcl pause QuartusJTAGServerInfo.tcl exec jtagconfig --serverinfo Дают вкупе d:\>set QuartusPath=d:\Altera\13.0sp1\quartus\bin64 d:\>d:\Altera\13.0sp1\quartus\bin64\quartus_sh -t QuartusJTAGServerInfo.tcl Info: ******************************************************************* Info: Running Quartus II 64-Bit Shell Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version Info: Copyright (C) 1991-2013 Altera Corporation. All rights reserved. Info: Your use of Altera Corporation's design tools, logic functions Info: and other software and tools, and its AMPP partner logic Info: functions, and any output files from any of the foregoing Info: (including device programming or simulation files), and any Info: associated documentation or information are expressly subject Info: to the terms and conditions of the Altera Program License Info: Subscription Agreement, Altera MegaCore Function License Info: Agreement, or other applicable license agreement, including, Info: without limitation, that your use is for the sole purpose of Info: programming logic devices manufactured by Altera and sold by Info: Altera or its authorized distributors. Please refer to the Info: applicable agreement for further details. Info: Processing started: Sun Nov 07 22:55:20 2021 Info: Command: quartus_sh -t QuartusJTAGServerInfo.tcl Info (23030): Evaluation of Tcl script QuartusJTAGServerInfo.tcl was successful Info: Quartus II 64-Bit Shell was successful. 0 errors, 0 warnings Info: Peak virtual memory: 4309 megabytes Info: Processing ended: Sun Nov 07 22:55:24 2021 Info: Elapsed time: 00:00:04 Info: Total CPU time (on all processors): 00:00:00 В то время как в GUI вижу прекрасный вывод. Как словить тоже в командной строке?
  12. А можно сразу безусловно в одном tcl файле, запускаемом извне нужной версией Quartus, выполнить все три действия?: exec jtagserver --uninstall exec jtagserver --install exec jtagconfig --serverinfo
  13. Извиняю, но и ваши ответы не лучше, а по информационной насыщенности для меня - ноль. Не судите только по себе, вас никто не короновал. Согласитесь, что это тоже только ваша проблема. У меня, кстати, проблем нет. Я вам неискренне сочувствую: вы и художественную литературу, вероятно, также читаете. Либо не читаете вообще. Про эпиграф в школе проходили? Не: мы там и не учились-то! Меня это сильно огорчает. Просто пойду молока выпью. А вы уже выучились и готовы отправиться на тот свет? Эпитафию-то написали? Приятно внимание )) Это не дело вкуса, а дело принципа. Винда уже стоит, на что указано в куче источников первого поста. Если из упомянутых вами инструментов предоставите то, что я пригалаю к этому посту - вот, наконец-то хоть что-то будет. Пока же этот тред - пустой высер трёх (или сколько нас тут) представителей сообщества. Ни о чём. aldec_basic.pdf aldec_sv.pdf do254_vlog.pdf
×
×
  • Создать...