Перейти к содержанию
    

Quartus vs. ISE ?

С Новым Годом!

 

 

Я традиционно работал с Xilinx а тут решил попробовать Альтеру.

И на вскидку впечатление что на много больше удобств в софте.

Например больше информации при синтезе. И особенно поражает Signal*Tap.

Исключительно полезная прилада. Хотя вроде есть аналог в виде CHip*Scope.

Надо попробовать.

 

Вопрос 1: общество согласно что работать с Квартусом удобнее?

 

Проблема: я использовал халявный PicoBlazer. У Альтеры есть корка покруче - Ni*os - только она хочет лицензию.

 

Вопрос 2: есть способ отучить?

Вопрос 3: а известный "декрипт" что именно декриптит?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

>Вопрос 1: общество согласно что работать с Квартусом удобнее?

Когда только начинаешь работать с ПЛИС, то удобнее из-за наличия AHDL. Это язык весьма простой и заточен под проектирование логики на ПЛИС (Verilog и VHDL - языки более универсальные, следовательно посложнее).

А если переходишь с другой среды проектирования и на другие семейства ПЛИС - вопрос только как быстро сможешь переучиться.

 

>Вопрос 2: есть способ отучить?

Покопайся на FTP - что-нибудь найдешь

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

То alexf:

from email>Тут мелькала "таблетка", но может ты скажешь как пользоваться?

Меняешь s*y*s_c*p*t.dll на пропатченный и подсовываешь Q*a*r*t*u*s`у файл с лицензией в которой есть N*i*o*s а дальше изучай доки и программируй результат :-)

 

То moderator: может надо эту тему закрыть?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

То moderator: может надо эту тему закрыть?

Не вижу смысла - она не противоречит общей теме форума.

 

alexf

Вопрос 3: а известный "декрипт" что именно декриптит?

Альтеровские зашифрованные исходники: мегакорки и файлы для NIOS.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

alexf

Вопрос 3: а известный "декрипт" что именно декриптит?

Альтеровские зашифрованные исходники: мегакорки и файлы для NIOS.

 

Не сочтите идиотом: я его напускал на много файлов, а он все матерился

"the file is not encrypted".

Если кто подкинет ссылку на описание, буду премного благодарен.

 

В наборе Ниос 2 имеется ряд фаилов с расширением ".v", только они закриптованы. Или я разучился понимать верилог :)

Все собирается и работает час, как известно.

 

А вот с первым вариантом Ниоса совсем облом: Квартус говорит что нет лицензии на AFxxxx и на этом дело заканчивается.

 

Не то чтобы это жизненно важно: я уже запихал свой процессор на основе халявного PIC, но все же хочется знать как это лечится, если что.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Когда только начинаешь работать с ПЛИС, то удобнее из-за наличия AHDL. Это язык весьма простой и заточен под проектирование логики на ПЛИС (Verilog и VHDL - языки более универсальные, следовательно посложнее).

А если переходишь с другой среды проектирования и на другие семейства ПЛИС - вопрос только как быстро сможешь переучиться.

 

Я несколько другое имел в виду. Пишу я все равно на верилоге, а чем синтезировать мне по барабану.

Просто мне показалось на второй день знакомства с Квартусом что в нем много весьма полезных свойств по сравнению с ISE. А начал я еще на Foundation достаточно давно. Когда чипы были на 5 вольт.

И возникает вопрос: а не перейти ли на Альтеру? Как я понимаю, железо примерно одного порядка. Я говорю про дешевые чипы: Spartan and Cyclone.

И интересно знать мнение общества.

 

Особенный восторг вызвал SignalTap. Memory Editor тоже. И очень понравился отчет синтезатора по всем пунктам.

 

Единственное но: назначение ножек. Наверное можно TCL скрипты писать, но я еще не пробовал. А графическим методом медленно и печально. То ли дело текстовым редактором в ISE.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Несколько странный вопрос и, соответственно, его обсуждение. Сравнивается узкоспециализированный софт с неперекрывающимися областями применения. Lets compare apples with apples - а тут я усматриваю попытку решить, чего лучше - яблоки или селедка. Затруднительно, господа. По-моему тут первично, то, на какого производителя Вы ориентируетесь, и вопрос о сравнении удобств и прибабахов сугубо вторичен. В какой-то мере он (вопрос) имеет смысл, если Вы и производителя кристаллов можете выбирать по своему усмотрению, но это редкий кайф.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В какой-то мере он (вопрос) имеет смысл, если Вы и производителя кристаллов можете выбирать по своему усмотрению, но это редкий кайф.

 

Да, мне действительно так повезло: я могу выбрать либо Spartan 3 либо Cyclone. Чипы сравнимые по цене и свойствам.

 

Поэтому мне очень интересно мнение знатоков - действительно ли удобств у Альтеровского софта больше.

 

Apples to oranges получается только в том что Квартус полный, а ISE - нет.

Альтера сама виновата - не прислала лицензию. Пришлось самому сделать :)

 

К сожалению я не нашел пока лекарства для Chip*Scope. Если найду, может он так же хорош как и Signal*Tap?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Вопрос 2: есть способ отучить?

 

в форуме на telesys.ru периодически появляется некий Stewart Little.

Он распространял лекарства для Nios и NiosII.

у меня есть кое-какая информация по лечению Nios II (правда я сам им не пользовался) - могу выслать вам на е-mail.

или спросите сами на телесистемах - я лумаю пришлют быстро

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Особенный восторг вызвал SignalTap. Memory Editor тоже.

 

SignalTap - да, очень полезная вещь. А вот Memory Editor на деле мне не пригодился - он требует под себя один порт памяти. Т.е. если память используется в двухпортовом режиме, то приблуда эта бесполезна.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Я говорю про дешевые чипы: Spartan and Cyclone.

И интересно знать мнение общества.

 

Nas4et Spartan3 i Cyclon2. Primeryal proekt pod oba chipa functionality poxojee, xotya architecture nemnogo raznaya. U Altera proshe ispol'zovanie megafunction kak component, u Xilinx nado vse configurirovat' 4erez CoreGen 4to nemnogo napryagaet. U Spartan 3 BRAM bol'shego razmera i ix sootvetstvenno men'she, v etom plane nebol'shie RAM blocks v Cyclon 2 mne kajutsya gibche. Nu a ostanovilsya na Spartane 3 potomu 4to predostavlyaet XC50S3 package CP132 razmerom vsego 8x8 4to i stalo kriteriem v vibore chipa. Altera voobshe poka takoi package ne imeet a fbga 16x16 obeshali tol'ko v konce vesnbl. No voobshe oni rasslabilis' i Xilinx ix na4al pressovat' i oni zashevelilis' sei4as

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...