Перейти к содержанию
    

Проблема с ModelSim

Может кто нибудь встречался с такой проблемой. Проинсталировал ModelSim. Открываю File -> New -> Project - ничего не происходит. Должно появиться окно - мастер настройки нового проекта.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Извиняюсь за пикантный вопрос... мммм... А у Вас моделсим лицензионный или.... как бы это помягче то.... для ознакомительных целей? :biggrin: Если второе, то Ваш вопрос по меньшей мере наивный. Я помню лет эдак 6 назад поставил моделсим, у которого было очень интересное свойство, он не отображал последний сигнал в списке. Вытаскиваете 10 сигналов на морду, он показывает лишь первые 9. Добавляете 1 сигнал в коде (итого 11) он показывает лишь первые 10 и т.д. Проблнма разрешилась установкой другой версии... А вообще уже даже и не помню как там проект создавать вручную, но если хотите, могу скинуть скриптик, который сам создаёт и загружает проект в моделсим. Может так заработает.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Извиняюсь за пикантный вопрос... мммм... А у Вас моделсим лицензионный или.... как бы это помягче то.... для ознакомительных целей? :biggrin: Если второе, то Ваш вопрос по меньшей мере наивный. Я помню лет эдак 6 назад поставил моделсим, у которого было очень интересное свойство, он не отображал последний сигнал в списке. Вытаскиваете 10 сигналов на морду, он показывает лишь первые 9. Добавляете 1 сигнал в коде (итого 11) он показывает лишь первые 10 и т.д. Проблнма разрешилась установкой другой версии... А вообще уже даже и не помню как там проект создавать вручную, но если хотите, могу скинуть скриптик, который сам создаёт и загружает проект в моделсим. Может так заработает.

Это Free Edition но он работал. Я на что то нажал и перестало выскакивать окно - добавить файлы в проект, потом закрыл проект опцией в меню Close Project и перестал открываться проект. Переустановил и проект не открывается.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Может кто нибудь встречался с такой проблемой. Проинсталировал ModelSim. Открываю File -> New -> Project - ничего не происходит. Должно появиться окно - мастер настройки нового проекта.

Если абсолютный установочный путь содержит неанглийские или спец. символы, то можно попробовать переустановить по нормальному пути

 

Попробуйте создать проект через командную строку, выполнив в окне transcript следующую команду:

 

project new c:/my_new_proj my_new_proj1

 

где c:/my_new_proj - местоположение проекта, my_new_proj1 - имя проекта

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Если абсолютный установочный путь содержит неанглийские или спец. символы, то можно попробовать переустановить по нормальному пути

Я принял установочный путь предлагаемый мне инсталятором C:\intelFPGA\16.1\modelsim_ase. Может действительно установить другую версию, постарее, бес его знает что они там наворотили.

 

Если абсолютный установочный путь содержит неанглийские или спец. символы, то можно попробовать переустановить по нормальному пути

 

Попробуйте создать проект через командную строку, выполнив в окне transcript следующую команду:

 

project new c:/my_new_proj my_new_proj1

 

где c:/my_new_proj - местоположение проекта, my_new_proj1 - имя проекта

Ой! А когда я вызываю transcrip у меня появляется окно создать новый проект а потом добавить файлы.

 

Вот наше спасение View -> Transcript. Спасибо.

Изменено пользователем Jenya7

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Чтоб не плодить темы продолжу тут.

Я хочу просимулировать мой модуль загружаю в проект три файла. Компилирую. Получаю ошибку

** Error: D:/Projects/GCP/FPGA/GCP_MAX10_V3/testbench/reg_file.vhd(278): (vcom-1436) Actual expression (type conversion (to ieee.NUMERIC_STD.UNSIGNED)) of formal "addr_a" is not globally static.

** Error: D:/Projects/GCP/FPGA/GCP_MAX10_V3/testbench/reg_file.vhd(279): (vcom-1436) Actual expression (type conversion (to ieee.NUMERIC_STD.UNSIGNED)) of formal "addr_b" is not globally static.

Дело в том что я подключаю модуль памяти к своему модулю

U_RAM_MEM : true_dual_port_ram_single_clock 
    generic map
    (
        DATA_WIDTH => 8,
        ADDR_WIDTH => 10
    )
    port map
    (
        clk        => REG_CLK,
        addr_a    => to_integer(unsigned(ram_addr_a)),
        addr_b    => to_integer(unsigned(ram_addr_b)),
        data_a    => ram_data_a,
        data_b    => ram_data_b,
        we_a       => wr_ena_a,
        we_b       => wr_ena_b,
        q_a        => slave_data_out1,
        q_b        => slave_data_out2
    );

и ModelSim ругается на addr_a => to_integer(unsigned(ram_addr_a)). Это мне для симуляции все надо менять?

 

Я пока для симуляции отключил модуль памяти.

Изменено пользователем Jenya7

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Через отдельный сигнал проводите конвертацию типов.

            generic map
    (
        DATA_WIDTH => 8,
        ADDR_WIDTH => 10
    )
    port map
    (
        clk        => REG_CLK,
        addr_a    => signal0,
        addr_b    => signal1,
        data_a    => ram_data_a,
        data_b    => ram_data_b,
        we_a       => wr_ena_a,
        we_b       => wr_ena_b,
        q_a        => slave_data_out1,
        q_b        => slave_data_out2
     );

        signal0    => to_integer(unsigned(ram_addr_a));
        signal1    => to_integer(unsigned(ram_addr_b));

У меня модельсим так-же ругается. Как это побороть какой-нибудь хитрой настройкой в модельсим я не нашёл. Приходиться создавать лишний сигнал.

Изменено пользователем Flip-fl0p

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

и ModelSim ругается на addr_a => to_integer(unsigned(ram_addr_a)). Это мне для симуляции все надо менять?

 

Я пока для симуляции отключил модуль памяти.

Можно для файла выбрать компиляцию в режиме VHDL-2008, там такие вещи позволены.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Через отдельный сигнал проводите конвертацию типов.

            generic map
    (
        DATA_WIDTH => 8,
        ADDR_WIDTH => 10
    )
    port map
    (
        clk        => REG_CLK,
        addr_a    => signal0,
        addr_b    => signal1,
        data_a    => ram_data_a,
        data_b    => ram_data_b,
        we_a       => wr_ena_a,
        we_b       => wr_ena_b,
        q_a        => slave_data_out1,
        q_b        => slave_data_out2
     );

        signal0    => to_integer(unsigned(ram_addr_a));
        signal1    => to_integer(unsigned(ram_addr_b));

У меня модельсим так-же ругается. Как это побороть какой-нибудь хитрой настройкой в модельсим я не нашёл. Приходиться создавать лишний сигнал.

 

Можно для файла выбрать компиляцию в режиме VHDL-2008, там такие вещи позволены.

 

спасибо. не знал такие вещи.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

спасибо. не знал такие вещи.

пользуйтесь do файлами...

пример

transcript on

 

vlib work

vmap work work

 

vcom -2008 -work work {d:\Project_hdl\gray_cnt\cnt_gray.vhd}

vcom -2008 -work work {d:\Project_hdl\gray_cnt\cnt_gray_vhd_tst.vhd}

 

vsim -t 100ps -L altera -L lpm -L sgate -L altera_mf -L stratixiv -L work -novopt work.cnt_gray_vhd_tst

do wave.do

 

wave.do - сохраняете выбранные сигналы для симуляции, пример

 

onerror {resume}

quietly WaveActivateNextPane {} 0

add wave -noupdate /cnt_gray_vhd_tst/i1/clk

add wave -noupdate /cnt_gray_vhd_tst/i1/cnt

add wave -noupdate /cnt_gray_vhd_tst/i1/cnt_all

add wave -noupdate /cnt_gray_vhd_tst/i1/const_val

add wave -noupdate /cnt_gray_vhd_tst/i1/enable

add wave -noupdate /cnt_gray_vhd_tst/i1/valid

add wave -noupdate -radix hexadecimal /cnt_gray_vhd_tst/i1/input_data

add wave -noupdate -childformat {{/cnt_gray_vhd_tst/i1/memory(255) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(254) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(253) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(252) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(251) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(250) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(249) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(248) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(247) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(246) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(245) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(244) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(243) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(242) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(241) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(240) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(239) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(238) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(237) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(236) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(235) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(234) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(233) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(232) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(231) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(230) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(229) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(228) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(227) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(226) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(225) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(224) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(223) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(222) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(221) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(220) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(219) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(218) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(217) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(216) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(215) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(214) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(213) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(212) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(211) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(210) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(209) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(208) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(207) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(206) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(205) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(204) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(203) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(202) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(201) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(200) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(199) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(198) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(197) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(196) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(195) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(194) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(193) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(192) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(191) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(190) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(189) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(188) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(187) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(186) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(185) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(184) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(183) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(182) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(181) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(180) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(179) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(178) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(177) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(176) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(175) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(174) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(173) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(172) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(171) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(170) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(169) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(168) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(167) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(166) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(165) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(164) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(163) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(162) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(161) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(160) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(159) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(158) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(157) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(156) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(155) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(154) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(153) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(152) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(151) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(150) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(149) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(148) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(147) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(146) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(145) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(144) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(143) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(142) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(141) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(140) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(139) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(138) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(137) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(136) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(135) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(134) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(133) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(132) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(131) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(130) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(129) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(128) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(127) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(126) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(125) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(124) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(123) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(122) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(121) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(120) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(119) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(118) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(117) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(116) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(115) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(114) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(113) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(112) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(111) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(110) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(109) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(108) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(107) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(106) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(105) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(104) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(103) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(102) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(101) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(100) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(99) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(98) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(97) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(96) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(95) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(94) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(93) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(92) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(91) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(90) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(89) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(88) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(87) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(86) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(85) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(84) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(83) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(82) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(81) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(80) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(79) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(78) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(77) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(76) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(75) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(74) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(73) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(72) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(71) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(70) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(69) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(68) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(67) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(66) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(65) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(64) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(63) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(62) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(61) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(60) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(59) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(58) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(57) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(56) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(55) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(54) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(53) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(52) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(51) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(50) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(49) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(48) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(47) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(46) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(45) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(44) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(43) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(42) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(41) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(40) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(39) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(38) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(37) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(36) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(35) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(34) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(33) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(32) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(31) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(30) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(29) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(28) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(27) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(26) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(25) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(24) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(23) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(22) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(21) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(20) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(19) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(18) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(17) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(16) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(15) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(14) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(13) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(12) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(11) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(10) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(9) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(8) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(7) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(6) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(5) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(4) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(3) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(2) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(1) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/memory(0) -radix hexadecimal}} -expand -subitemconfig {/cnt_gray_vhd_tst/i1/memory(255) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(254) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(253) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(252) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(251) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(250) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(249) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(248) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(247) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(246) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(245) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(244) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(243) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(242) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(241) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(240) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(239) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(238) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(237) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(236) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(235) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(234) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(233) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(232) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(231) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(230) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(229) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(228) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(227) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(226) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(225) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(224) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(223) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(222) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(221) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(220) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(219) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(218) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(217) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(216) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(215) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(214) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(213) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(212) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(211) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(210) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(209) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(208) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(207) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(206) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(205) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(204) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(203) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(202) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(201) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(200) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(199) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(198) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(197) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(196) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(195) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(194) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(193) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(192) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(191) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(190) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(189) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(188) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(187) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(186) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(185) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(184) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(183) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(182) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(181) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(180) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(179) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(178) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(177) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(176) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(175) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(174) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(173) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(172) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(171) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(170) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(169) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(168) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(167) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(166) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(165) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(164) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(163) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(162) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(161) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(160) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(159) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(158) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(157) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(156) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(155) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(154) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(153) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(152) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(151) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(150) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(149) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(148) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(147) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(146) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(145) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(144) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(143) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(142) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(141) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(140) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(139) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(138) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(137) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(136) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(135) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(134) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(133) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(132) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(131) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(130) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(129) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(128) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(127) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(126) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(125) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(124) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(123) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(122) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(121) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(120) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(119) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(118) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(117) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(116) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(115) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(114) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(113) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(112) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(111) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(110) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(109) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(108) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(107) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(106) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(105) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(104) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(103) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(102) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(101) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(100) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(99) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(98) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(97) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(96) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(95) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(94) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(93) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(92) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(91) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(90) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(89) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(88) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(87) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(86) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(85) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(84) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(83) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(82) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(81) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(80) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(79) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(78) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(77) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(76) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(75) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(74) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(73) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(72) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(71) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(70) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(69) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(68) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(67) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(66) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(65) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(64) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(63) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(62) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(61) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(60) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(59) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(58) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(57) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(56) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(55) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(54) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(53) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(52) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(51) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(50) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(49) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(48) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(47) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(46) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(45) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(44) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(43) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(42) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(41) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(40) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(39) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(38) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(37) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(36) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(35) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(34) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(33) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(32) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(31) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(30) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(29) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(28) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(27) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(26) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(25) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(24) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(23) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(22) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(21) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(20) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(19) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(18) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(17) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(16) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(15) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(14) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(13) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(12) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(11) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(10) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(9) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(8) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(7) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(6) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(5) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(4) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(3) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(2) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(1) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/memory(0) {-radix hexadecimal}} /cnt_gray_vhd_tst/i1/memory

add wave -noupdate -radix hexadecimal /cnt_gray_vhd_tst/i1/output

add wave -noupdate /cnt_gray_vhd_tst/i1/reg_ena

add wave -noupdate /cnt_gray_vhd_tst/i1/reg_find_first_flag

add wave -noupdate /cnt_gray_vhd_tst/i1/reg_flag

add wave -noupdate -childformat {{/cnt_gray_vhd_tst/i1/reg_syndrome(0) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/reg_syndrome(1) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/reg_syndrome(2) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/reg_syndrome(3) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/reg_syndrome(4) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/reg_syndrome(5) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/reg_syndrome(6) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/reg_syndrome(7) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/reg_syndrome(8) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/reg_syndrome(9) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/reg_syndrome(10) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/reg_syndrome(11) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/reg_syndrome(12) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/reg_syndrome(13) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/reg_syndrome(14) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/reg_syndrome(15) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/reg_syndrome(16) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/reg_syndrome(17) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/reg_syndrome(18) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/reg_syndrome(19) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/reg_syndrome(20) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/reg_syndrome(21) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/reg_syndrome(22) -radix hexadecimal} {/cnt_gray_vhd_tst/i1/reg_syndrome(23) -radix hexadecimal}} -expand -subitemconfig {/cnt_gray_vhd_tst/i1/reg_syndrome(0) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/reg_syndrome(1) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/reg_syndrome(2) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/reg_syndrome(3) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/reg_syndrome(4) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/reg_syndrome(5) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/reg_syndrome(6) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/reg_syndrome(7) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/reg_syndrome(8) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/reg_syndrome(9) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/reg_syndrome(10) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/reg_syndrome(11) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/reg_syndrome(12) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/reg_syndrome(13) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/reg_syndrome(14) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/reg_syndrome(15) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/reg_syndrome(16) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/reg_syndrome(17) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/reg_syndrome(18) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/reg_syndrome(19) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/reg_syndrome(20) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/reg_syndrome(21) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/reg_syndrome(22) {-radix hexadecimal} /cnt_gray_vhd_tst/i1/reg_syndrome(23) {-radix hexadecimal}} /cnt_gray_vhd_tst/i1/reg_syndrome

add wave -noupdate -radix hexadecimal /cnt_gray_vhd_tst/i1/reg_syndrome_all

add wave -noupdate /cnt_gray_vhd_tst/i1/rst

add wave -noupdate /cnt_gray_vhd_tst/i1/syndrome_all

TreeUpdate [setDefaultTree]

WaveRestoreCursors {{Cursor 1} {104700 ps} 0}

quietly wave cursor active 1

configure wave -namecolwidth 275

configure wave -valuecolwidth 100

configure wave -justifyvalue left

configure wave -signalnamewidth 0

configure wave -snapdistance 10

configure wave -datasetprefix 0

configure wave -rowmargin 4

configure wave -childrowmargin 2

configure wave -gridoffset 0

configure wave -gridperiod 1

configure wave -griddelta 40

configure wave -timeline 0

configure wave -timelineunits ps

update

WaveRestoreZoom {0 ps} {236300 ps}

 

чтобы запустить симуляцию, переходите в папку с файлами и набираете:

 

do c.do

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...