Перейти к содержанию
    

papasha

Свой
  • Постов

    121
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный

Информация о papasha

  • Звание
    Частый гость
    Частый гость

Контакты

  • Сайт
    Array
  • ICQ
    Array

Информация

  • Город
    Array

Посетители профиля

1 156 просмотров профиля
  1. https://www.zelenograd.ru/hitech/otechestvennye-fotolitografy-zntc-kovalev/?from=feed&utm_referrer=https%3A%2F%2Fzen.yandex.com&utm_campaign=dbr Статья об отечественных литографах в Зеленограде от 9.02.2022.
  2. Да, про эту методу я забыл. Теперь до следующей встречи с траблой. Спасиб.
  3. Печально.... Возможно это из-за того, что у меня одновременно было установлено 7.2, 7.3 и 8.1. 8.1 снес, но такая же ошибка появилась в 7.3. А в 7.2 нормально. Как грится, не хрен делать лучше, когда и так хорошо.
  4. При формировании HDL кода из BDE-файла иногда выдает ошибку типа: # Error: Can't call method "GetLibraryName" without a package or object reference at E:\AHDL8_1\Perl\Lib/Aldec/NetlistCreator.pm line 1747. # Error: При этом может генерить код, а может оставить пустой файл. Иногда получается вылечить отменой последних изменений, а потом опять их добавлением. Кто-нибудь встречался с этим?
  5. Для кзалинистов. Наложение временных ограничений в CPLD. Свежая статейка. Может пригодиться. xapp1047.pdf
  6. Насколько я знаю - этот акселерэйтед вэйвформ является штатным просмоторщиком их второго продукта - Ривьеры. И расчитан на работу со скриптами. Так что придется перестраивать голову, если они GUI не подправят. Скорее всего он со скриптами и сейчас нормально работает. Но прорисовывает он классно! Поставил 9000 цепей на просмотр - все отображает моментально!
  7. В этой версии по умолчанию стоит акселерэйтед вэйвформ. В опциях нужно указать, чтобы он работал в стандарт вэйвформ.
  8. В хелпе почитайте "component declaration" и "component instantiation" или сгенерите автоматом тест бэнч для одного из компонентов - и сделайте по аналогии. Там все просто.
  9. А диск форматнуть? И переставить ВСЕ нахрен.
  10. Буду разговаривать с начальством. ............ Уже поговорил. Ждем результатов. Собственно вот сайт предприятия: www.vigstar.ru
  11. Вобщем, как говорится, - "дело было не в бабине ..." . В Implementation Options была установлена галочка на Disable Sequential Optimizations. Блин, диверсия, - пол дня потерял...
  12. Задействовано 526 из заявленных 1024. Вроде соответствует цитате из Хелпа. Но попробую даписать пустышки до половины Спартановской блок-памяти. Спасибо за мысль. Отпишу, что получилось.
  13. Вот текст (VHDL): ============================================== library IEEE; use IEEE.STD_LOGIC_1164.all; entity PZU is port ( clk : in std_logic; Adress : in std_logic_vector(9 downto 0); Data : out std_logic_vector(3 downto 0) ); end PZU; library synplify; use synplify.attributes.all; architecture PZU of PZU is signal ROM : std_logic_vector(3 downto 0); attribute syn_romstyle of ROM : signal is "block_rom"; begin process(clk) begin if rising_edge(clk)then case Adress is when "0010000000" => ROM <= "0110"; when "0010000011" => ROM <= "0100"; до хрена всего....... when "1011110110" => ROM <= "0001"; when others => ROM <= "0000"; end case; end if; process; Data <= ROM; end PZU_FAP; ================================================= В Vertex'e генерилась нормальная ПЗУшка в блочной памяти. В Spartane3 генерит ПЗУ на распределенной памяти. Причем строку attribute syn_romstyle of ROM : signal is "block_rom"; игнорирует полностью. Как можно заставить Synplify засунуть ПЗУ в блочную бамять Спартана3 ? В принципе же это должно быть возможно. В Help'e Synpli ответа не нашел. На форуме - тоже.
  14. Вы, уважаемый, че-то не то пьете. Весь хелп лежит в Active-HDL/Books/. Запускать можно и отдельно - Umbrella.chm. Удачи.
×
×
  • Создать...