Перейти к содержанию
    

loc_design

Хотелось бы зафиксировать часть проекта. Вроде бы и комада такая есть - loc_design.

 

после ее запуска, нашел в xdc констрейны

 

set_property FIXED_ROUTE { { CLBLM_L_CMUX CLBLM_LOGIC_OUTS18 NW2BEG0 WL1BEG2 WW2BEG2 FAN_ALT5 FAN_L5 CLBLM_M_CI } } [get_nets {Input_Processing_I/STFT_Block_I/FFT_array[4].FFT_Core_I/U0/i_synth/xfft_inst/non_floating_point.arch_d.xfft_inst/pe_gen[0].natural_order_input.PE/hasbf2.FB_2.BF_2/mem_mux_and_reg_upper_im/slicel_slicem_implementation.mux/D[13]}]

 

все бы хорошо, но вот вивадо их игнорит. Время сборки не изменилось, появились варнинги.

 

CRITICAL WARNING: [Designutils 20-1088] Unplaced driver, Q, on net D[13]. Driver must be placed prior to directed route.

 

или оно толком не работает в project mode, или я что то не так делаю.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...