Перейти к содержанию
    

hdl.simulator

Участник
  • Постов

    10
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный
  1. Этот вариант понятен. Хочется узнать подробнее про вариант Linux+Windows, например, на работе и в пределах одного проекта. Когда часть задач необходимо делать под одной ОС, а другую часть - под другой, т.е. какие САПР на какой платформе и для чего используются. И возможны ли альтернативы, чтобы делать всё на одной платформе?
  2. Хочется, чтобы софт был максимально полезен для большинства пользователей. В этом опросе видно, что только Linux`ом при разработке железа не пользуются. По идее, если все стадии проекта от идеи до производства (или продаж) можно сделать на одной платформе, то это удобнее, чем часть проекта делать на одной платформе, а часть на другой. Хотя физически разными стадиями занимаются разные люди и на разных рабочих местах. Сейчас ещё интересно, что людей заставляет или вынуждет пользоваться связкой Linux+Windows? Тем более, если одному разработчику приходится работать на разных платформах.
  3. Интересуют предпочтения в выборе платформы (ОС) для разработки железа на FPGA и в каких "участках" проекта принимаем непосредственное участие.
  4. Наш симулятор разрабатывается как часть единой системы проектирования Delta Design. О ней можно посмотреть на том же сайте, где лежит наш дистрибутив. Естественно, это накладывает некоторые ограничения на принимаемые решения. Но по большому счёту в поддержке только одной оси нет ничего плохого, если софт годный, работать в нём удобно, и он охватывает весь маршрут разработки пп, железа и софта под него (это то, к чему стремимся). Кроме топологии кристаллов, а этим занимается отдельный специалист на отдельной машине. Хотя что-то я не помню, чтобы тот же Encounter Cadence работал бы под Виндой - никто же не плюётся. Или что-то поменялось? Объясните, в чём такая важность кроссплатформенности, что она является причиной отказа использования софта?
  5. Сразу видно, что не пробовали наш :) Мало что общего с Aldec и Modelsim. Что касается "пишем скрипт" и т.д., то здесь работы ещё меньше. У вас задача скрипты писать, или железо разрабатывать? Мы это знаем, так что не волнуйтесь.
  6. Мы проверили на чистом Windows XP SP3 и Windows 7 (без установки студии) - VHDL симулятор работает. На Win7 установлен такой софт: Возможно, у Вас некорректно установлен .NET Framework 4. Попробуйте его переустановить.
  7. Работали? Я подобного тому, что у нас, не встречал. Уж поделитесь, в чём вы работали. Что касается VCS, это их дело. У нас особых проблем нет. Если система обрастёт множеством настроек, то управление ими будет сделано так, чтобы доставлять как можно меньше лишней работы. У Вас .NETFramework 4.0 стоит?
  8. Подобные идеи у нас возникали. Естественно, будем их прорабатывать. Вообще, в планах добиться многопоточной симуляции. Но здесь что-либо по срокам слишком рано говорить. Как именно вы хотите видеть такую интеграцию? На уровне загрузки их библиотек? Или как-то иначе? Вопросы, связанные с encrypted пока не затрагивались. Сейчас наша основная цель - сделать удобный и точный HDL симулятор, который позволяет выполнять совместное моделирование железа с прошивками для контроллеров, а также широко использовать высокоуровневые языки. Интеграция несомненно важна, но это уже следующий шаг и он не сложный. Да, именно поэтому вопросами интеграции будем заниматься позже. Сначала надо полноценно симулировать хотя бы VHDL и Verilog. Вы просто с этим не работали. На самом деле очень удобно организовать разработку и отладку сложных систем, а также облегчить повторное использование узлов. Получается довольно прозрачная структура. Кроме того, скоро в бета-версию будет включён инструмент ссылок в проектах. Это позволяет подключать готовые проекты вроде как библиотеки. Очень удобно. А что касается скриптов, то у нас их и вовсе писать не надо: нажал на кнопку - и начинается компиляция с симуляцией. На сколько я понял вопрос, изменений файлов других САПР'ов при работе в нашей системе нет. Но дублировать ничего не надо. У нас есть процедура импорта, которая рекурсивно загружает указанную папку с исходниками, сохраняя всю её структуру, как проект в нашу базу. И работа ведётся уже только в нашей среде. При желании можно сделать экспорт. Ограниченно. Как я уже писал, сейчас у нас есть другие задачи.
  9. Для начала предлагаю скачать и посмотреть. Если Вас интересует какой-то сравнительный анализ, вроде оценок быстродействия, охвата стандартов, и т.п., то такие данные будут, но позже. И они сразу будут обнародованы. Сейчас основная работа направлена на реализацию запланированных фич. Хотя в текущей версии реализована только поддержка VHDL, мы уже кое в чём опережаем Modelsim. В первую очередь то, что бросается в глаза - интерфейс и удобство работы. Для запуска симуляции достаточно нажать всего одну кнопку. В Modelsim же проще работать с командной строкой, а времянку смотреть в чём-то вроде gtk-wave. Я, конечно, не навязываю такой способ, но запускать симуляцию в их среде - довольно печальное занятие. При симуляции временные диаграммы изменений сигналов на лету отображаются в окне "осциллографа". Более удобная организация работы с файлами исходного кода благодаря используемой системе проектов. Также не имеет значения порядок следования файлов в проектах, а компиляция многопоточна. Кроме того, у нас имеется СКВ. В скором времени в бета-версии будет поддержана работа с точками останова и следом - совместное моделирование HDL-проектов с программами прошивок для встраиваемых систем (embedded).
  10. Вышла очередная бета-версия HDL-симулятора Delta Design HDL Simulator от компании Eremex. Симулятор прост в обращении и удобен для начинающих разработчиков, использующих VHDL. Посмотреть и скачать можно здесь. Для установки потребуется регистрация для получения кода бета-тестера. Пока что бета-версия поддерживает только VHDL. Идут работы над поддержкой Verilog, а также над совместным проектированием и симуляцией HDL и встраиваемых систем (embedded).
×
×
  • Создать...