Перейти к содержанию
    

Yra

Свой
  • Постов

    396
  • Зарегистрирован

  • Посещение

  • Победитель дней

    1

Yra стал победителем дня 22 марта 2023

Yra имел наиболее популярный контент!

Репутация

4 Обычный

1 Подписчик

Информация о Yra

  • Звание
    Местный
    Местный
  • День рождения 08.06.1979

Контакты

  • Сайт
    Array
  • ICQ
    Array

Информация

  • Город
    Array

Посетители профиля

3 863 просмотра профиля
  1. Я приспособился делать следующее: В Verilog - коде/файлах проекта (да в любом другом коде, где есть многострочные комментарии) добавляю такое: /* _latex_start_ \section{Описание того что считаю нужным описать } текст, списки, таблицы, листинги, даже рисунки в tikz - формате, даже временные диаграммы в tikz - формате _latex_end_ */ Получается что - то вроде самодокументирующегося кода, только не для Doxygen а напрямую для Latex Когда нужно сверстать документ, чтобы выцепить их комментариев это описание использую свой скриптик (Он на Lua5.3 написан, кстати рекомендую - язык - огонь) https://github.com/yrasik/VP_auto/blob/master/bin/lua/get_latex_info_from_code.lua - он ни о чем, можно подобное на python изобразить - неважно. Этот скриптик извлекает всё что между тегами в комментариях в одноименные *.tex - файлы. Эти файлы подключены к *.tex - файлу верхнего уровня. Собираю всё это lualatex (из пакета TeXLive). Стилевые файлы и пр. можно отсюда https://github.com/yrasik/eskdi. Тогда можно умудриться по ЕСКД - описание оформить.. Незатейливые рисунки - блоксхемы можно приноровиться делать в https://github.com/yrasik/tikzit (tikzit, наученный русским буквам) - главное преимущество этого изложено в https://github.com/yrasik/eskdi/blob/master/about.pdf в приложении Д.4. .. в общем надо переходить на Тёмную Сторону Силы...
  2. PCAD 2002 Schematic

    Свои 5 копеек в обсуждение темы генерации перечней: https://github.com/yrasik/VP_auto. Для проектов с 1000+ элементов на платах. Полностью открытый код. Генерирует перечни, спецификации, ведомости покупных, файлы с разделителями для exel. Есть функции находжения схожести названий элементов на основе применения цепочек регулярных выражений и др...
  3. Основной файл интерфейса Verilog <-> Lua поместил в https://github.com/yrasik/Verilog_Lua. По аналогии можно и для Python подобное изобразить....
  4. Я наконец по достоинству оценил преимущества скриптовых языков. Вот Lua, например, имеет динамическую типизацию, основан на разряженных таблицах и легко встраивается в C/C++ код. В общем, немного доработал VP_auto. Теперь там можно выполнять более продвинутую сортировку т.к. алгоритм сравнения двух элементов вынесен в Lua - модуль. Можно, к примеру сортировать резисторы P1-12в спецификации/ведомости покупных по мощности-номиналу, как любят педанты из нормоконтроля... Добавил до кучи в проект несколько скриптовых утилит, помогающих справляться с обработкой разрастающейся базы наименований компонентов.
  5. Я, конечно свой подход не навязываю, но он имеет место быть. Допустим схемотехник закладывает в схему разъемы Molex (назовет ее схемой электрической принципиальной верхнего уровня блока, где платки соединяются шлейфиками). Разных типов. А у них контактики поставляются отдельно. И их надо не забыть купить. А еще есть держатель предохранителя ( которого на схеме нет, но это тоже плкупное изделие). Если мы про плату говорим- там экранчики имеют названия и др.. При этом сборочный чертеж делапт другой человек, а ведомость покупных - трптий. Все это по врнмени растянуто. И можно забыть купить что- то из выше ппречисленного. Или купить не в том объеме... И время на пересчитывание/ перепроверку всей этой мелочевки все трое лишнее тратят. Я справляюсь с этим нанесением на схему принципиальную элементов, размером с пиксель и имеющих позиционные обозначения с(невидимые) специальным перфиксом. При генерации перечня элементы с таким перфиксом не учитываются. При генерации ведомости покупных и графы спецификации'прочие изделия' - учитывсется. При распечатывании принципиальной схемы все это не видно. Еще и проверка дополнительная, чтобы элементы во всех трех документах назывались одинаково с точностью до символа. Раньше тратили на это тоже время. В базе, к примеру английсакя 'А' а в перечне - русская. Компьютер не находит в упор такое. Чтобы находил - нужно использовать регулярные выражения... Программу для этого создал (с открытыми исходниками). На гитхабе она есть. На форуме тема есть. Пользуюсь сам сим художеством..
  6. Т.е. печатник предоставляев Вам свой исходник, который Вам был совершенно не интересен на стадии его проектирования. Бывает такое, что волосы дыбом становятся, когда разобрались, как проложена дифференциальная пара от USB 2.0? Как посечен опорный слой? Из-за этого глючит проект? Импульсный преобразователь скомпанован/разведен неправильно? А Ваша роспись стоит на проекте печатной платы в графе 'проверил' Что делаете в таком случае? Идете к начальству, говорите, что печать надо переделать, подправить диаграммы Ганта на пол года вперед... А они такие 'Ну конечно. Без вопросов. Вы же разработчик.'
  7. В сборочный Вы не заглядываете? Где резистор стоит, в который ткнуть осциллографом надо Вас не интересует... Да я знаю что такое киты. А если Вы не киты делаете? А скажем плату автоматики для АЭС. Я бы, как заказчик в таких случаях требовал бы с Вас полный комплект КД, сертификаты на ПО, результаты испытаний и др..
  8. Мне, как студенту-практиканту (это очевидно по моему профилю на этом форуме) интересно. Вам, как инженеру - схемотехнику на стол кладут плату, сделанную по Вашей Э3 и по ПЭ3 с целью отрегулировать? Если да - то странно,что Вас удивляет моя озабоченность кто и как будет по моим схемам формировать основной конструкторский документ - спецификацию. И что делать, если детальку купить не смогут из него? И читал ли конструктор- печатник книжку 'Конструирование высокоскоростных цифровых устройств' Говард Джонсон. Разведут Вам пару плат (с DDR3, к примеру) с особым цинизмом - вспомните студента-практиканта :) И разработчик, разве не должен свою подпись ставить на сборочном в графе 'проверил'? И что Вы делаете, когда проверенное Вам не нравится?
  9. Это у Вас нормоконтроль не оголтелый. А так готовьтесь - придёт в нормоконтроль новый человек (и не факт, что с техническим образованием) и будете ему доказывать про индуктивность, которая в перечне есть (с пометкой DNU), а в спецификации ее нет. И где в ЕСКД за 70-й год аббревиатура DNU. Докажете - замечательно. Через пол года другому человеку готовьтесь доказывать (при очередной коррекции схемы, к примеру). Не факт, что получится. Придётся перечень со спецификацией переделывать... Стандарты тоже должны развиваться, идя в ногу со временем. А плату такую лучше самому и развести как надо. А рационализаторов не пускать (не ставить свою подпись под рационализаторством). Накосячат - их проблемы. Вы про индуктивность рассуждаете - а как на схеме обозначить интерфейс DDR3? И как бороться с рационализаторами? Как печатнику (имеющему специальность далеко не 'Радиоконструирование') доказать, что это важно? Если здравого смысла больше критической массы - хорошо. Прислушаются. Выпустят адекватный стандарт предприятия... Если нет - крысятничать готовьтесь. Для меня это больной вопрос. Мне последний раз надписи на схеме забанили... НАДПИСИ НА ПРИНЦИПИАЛЬНОЙ СХЕМЕ... Как тебе такое, Илон Маск?
  10. У нас путем длительных игр разума определили: все, что имеет больше трёх ног является микросхемой D... Взять хотя бы Ehternet трансформатор: T -> Z (потому, что там фильтр из трансформаторов) -> D (потому, что там два фильтра из трансформаторов)....
  11. Катушку, обозначенную на схеме будут пытаться в ведомости покупных купить и в спецификации отразить. Проще зюзюку самому на печатной плате нарисовать как надо, чем со всеми службами бодаться... Нервы сэкономите. На схеме для себя придумайте систему обозначений, не противоречащую требованиям ЕСКД (застрявшим в 70-х годах прошлого века). Для AD я мутил скрипты по перекрашиванию подобных вещей (а именно классов цепей, диф пар и др.) в цвет фона (для нормоконтроля) и обратно (для себя). Их на замечательном Visual Pascal без классов там писать надо. Как крыса последняя..
  12. Я прокачивал Verilog PLI/VPI + Lua 5.3. Т.е. В верилоге делал модуль (имитатор мастера на системной шине), который управлялся через PLI/VPI Lua - скриптом. Вроде даже работало. Имитировал процессор в основном цикле и в обработчике прерывания. Даже получился эксперимент с общением этого чуда по локальной сети через Lua Sockets по UDP. При должном терпении и мотивации это можно прикрутить к qemu (как вариант через сокеты) и/или к SystemC-AMS...
  13. Это да, наверное. Я у себя развиваю идею структурирования папки с проектом подобным образом: ./Название проекта ....| ....|-Папка со схемами, pcb, герберами и др. ....| ....|-Папка с данными для VP_auto и подобных вспомогательных программ ....| ....|- Utils ........| ........|-VP_auto (сама программа) ........| ........|-msys (выдернутые утилиты из MinGW) ........| ........|-GC (Great Code для форматирования С-кода) ........| ........|-Другие мелкие консольные вспомогательные программы Естественно, всё это запихивается в репозиторий (в том числе и бинарники). В результате на другой пустой машине это всё можно извлечь из репозитория и продолжить работу над проектом. Всё это обвязывается скриптами (сложные вещи можно делать в bash - стиле для этого и msys...). Одна строчка тогда в этом файле.
  14. Очередная версия программы VP_auto v3.0. Проект выложен на GitHub. https://github.com/yrasik/VP_auto Список изменений приведён в описании. https://github.com/yrasik/VP_auto/blob/master/VP_auto.pdf Надеюсь, программа будет Вам полезна. Меня она просто выручает... Просьба сообщить об обнаруженных ошибках....
×
×
  • Создать...