Перейти к содержанию
    

Вопросик по SystemC

1. Хочу описать модуль на SC, который бы имел только один выход и этот выход был нулем.

2. Хочу запустить это все под modelsim 6.0b

3. Хочу наблюдать свой ноль в вэйвформе ;)

 

делаю файл:

––––––––––––––––––––––––––––––––––––––––––––––––

my_main.cpp

#include <systemc.h>

 

SC_MODULE (my) {

public:

sc_out<bool> my_out;

 

void my :: driver (void) {

my_out.write(0);

}

 

SC_CTOR (my) : my_out("my_out") {

SC_METHOD(driver);

dont_initialize();

}

 

};

 

SC_MODULE_EXPORT(my);

––––––––––––––––––––––––––––––––––––––––––––––––

запускаю скрипт:

 

vlib work

 

# compile and link C source files

sccom -g my_main.cpp

sccom -link

 

 

# start and run simulation

vsim my

run 500000 ns

––––––––––––––––––––––––––––––––––––––––––––––––

получаю:

# Model Technology ModelSim SE sccom 6.0b compiler 2004.12 Dec 1 2004

#

# Exported modules:

# my

# Model Technology ModelSim SE sccom 6.0b compiler 2004.12 Dec 1 2004

# vsim my

# Loading work/systemc.so

# Loading work.my

# ** Error: (vsim-6510) Complete binding failed: port not bound: port 'my/my_out' (sc_out)

# ** Fatal: Fatal SystemC error detected, exiting...

# Time: 0 ns Iteration: 0 Instance: /my File: my_main.cpp

# FATAL ERROR while loading design

# Error loading design

# Error: Error loading design

 

––––––––––––––––––––––––––––––––––––––––––––––––––––

 

Не понимаю, как еще можно сбаундить порт...

 

p.s. совсем запутался, прошу помощи у гуру ;)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

** Error: (vsim-6510) Complete binding failed: port not bound: port 'my/my_out' (sc_out)

Есть предположение что оно хочет нечто вроде тестбенча :blush:

Ато получается что порт в воздухе завис

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

kto to esli mojet pomoch pomagite devyshke. iy ichy raznaobraznie examples na systemc, osobenno menia interesyet rabota s logicheskimi operaziami xor,or,and,not i t.d. esli kto to hot' kak to mojet pomoch pomogite please!!!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

kto to esli mojet pomoch pomagite devyshke. iy ichy raznaobraznie examples na systemc, osobenno menia interesyet rabota s logicheskimi operaziami xor,or,and,not i t.d. esli kto to hot' kak to mojet pomoch pomogite please!!!

 

Сходите к полякам http://www.edaboard.com

(нужно зарегистрироваться)

скачайте отттуда

http://www.edaboard.com/viewtopic.php?t=10...=systemc+primer

(с Free Mirror)

неплохая книга и много всяких примерчиков

 

и еще там есть

http://www.edaboard.com/viewtopic.php?t=87...=systemc+ground

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Сходите к полякам http://www.edaboard.com

(нужно зарегистрироваться)

скачайте отттуда

http://www.edaboard.com/viewtopic.php?t=10...=systemc+primer

(с Free Mirror)

неплохая книга и много всяких примерчиков

 

и еще там есть

http://www.edaboard.com/viewtopic.php?t=87...=systemc+ground

 

spasibo bol'shoe za otvet hot' kto to otvetil no problemka iy zashla zaregestrirovalas i popitalas' zaiti po ssilki i ne vihodit (pishet takogo lista net ) iy popitalas' cherez search i toje ne vishlo. esli tebe ne trydno vishli mne pojalysto ety knojky na e-mail. spasibo bol'shoe. [email protected]. i eche hotela yznat' mojet y tebia est' hot' kakaia nibyd' informazia na rysskom. SPASIBO

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Сходите к полякам http://www.edaboard.com

(нужно зарегистрироваться)

скачайте отттуда

http://www.edaboard.com/viewtopic.php?t=10...=systemc+primer

(с Free Mirror)

неплохая книга и много всяких примерчиков

 

и еще там есть

http://www.edaboard.com/viewtopic.php?t=87...=systemc+ground

 

spasibo bol'shoe za otvet hot' kto to otvetil no problemka iy zashla zaregestrirovalas i popitalas' zaiti po ssilki i ne vihodit (pishet takogo lista net ) iy popitalas' cherez search i toje ne vishlo. esli tebe ne trydno vishli mne pojalysto ety knojky na e-mail. spasibo bol'shoe. [email protected]. i eche hotela yznat' mojet y tebia est' hot' kakaia nibyd' informazia na rysskom.  SPASIBO

 

Там у поляков все нормально, я , естественно, проверил ссылки перед

тем как их давать. После регистрации они на почту присылают пароль,

его надо использовать при входе на сайт. Ну уж если ничего не получилось

пришлю книжку по почте. На русском очень мало вероятно найти материал

по SystemC, разве что обзорные статьи.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Я тут какое-то время потратил на то, чтобы понять что такое SystemC

Моё мнение - слишком объёмный получается код (число символов раз в 7 больше, чем на Verilog) при попытке описать некий функциональный схемный узелок, причём читаемость кода тоже мутнее.

Можете привести мне убедительные доводы в поддержку SystemC (по сравнению с VerilogHDL + PLI или VHDL+FLI)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

логические операторы в самом деле из С++.

а примеры ищутся с помощью Google.com - searching for

,SystemC example,

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

SystemC предназначен именно для системного проектирования, а не для того что бы использовать его вместо *hdl. То есть это более высокий уровень описания алгоритма работы чем даже поведенческий hdl. Поэтому, кстати, и выбор Си++ как базы -- все равно раньше люди код для тестирования алгоритма на этом уровне писали на Си/Си++. Ну а самый главный аргумент это вероятно скорость работы: нормальный Си код все-таки работает быстрее чем (даже скомпилированный) *hdl.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...