Перейти к содержанию
    

Привет всем ... Тока скачал кваркус 6.0 ... запустил ... и чета ваще немогу понять ... в нем не очень удобно пиать ... в смысле если писать на верилоге ... то неудобна симуляция и ваще как я понял эта штука нужна уже в конце когда усе готово и тока осталось засунуть усе в микросхему ...

Так че? пишем в других прогах а потом уже в кваркус? ... Или я всетаки чтото проглядел и в кваркусе всетаки можно писать с нуля проэкт?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

ну а что такое "писать" ?

 

"просто писать" можно и в текстовом редакторе

"работать с проектом" - в соответствующей САПР (или из командной строки, используя makefile)

 

 

PS: кстати, насчет редакторов - для многих из них есть писанные энтузиастами файлы подсветки верилог-синтаксиса (даже для Eclipse, хотя это уже больше IDE) или сами редакторы, заточенные под HDL (HDLTurboWriter)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В квартусе делаетcz File-New-HDL Verilog Fileпосле его написания добавляете в проект (или создаете его) и возможна верификация синтаксиса (из меню Processing - Analyze Current File) или сразу кнопкой панели инструментов. А удобно или нет - это индивидуально.

Это если я правильно понял вопрос

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Очень интересный и хороший текстовый редактор Programmers Notepad 2

http://www.pnotepad.org

Вне IDE работаю с ним.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

(g)vim - http://www.vim.org/

 

Мощный и легко расширяемый редактор. Но довольно специфичный. ;)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

IMHO, лучше ActiveHDL-а от ALDEC (www.aldec.com) пока ничего не видел. И симулятор там очень хороший...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

IMHO, лучше ActiveHDL-а от ALDEC (www.aldec.com) пока ничего не видел. И симулятор там очень хороший...

Присоединяюсь - очень грамотная штука

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

"просто писать" можно и в текстовом редакторе

 

Добавляю - я пишу в редакторе EditPlus2 - он очень компактный и может делать подсветку синтаксиса для многих языков, можно даже и для самодельных ассемблеров... Есть вставки темплейтов и автоокончание.

Удачи!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Так че? пишем в других прогах а потом уже в кваркус? ... Или я всетаки чтото проглядел и в кваркусе всетаки можно писать с нуля проэкт?

 

Не наблюдаю, чего там не хватает. Пишу все с нуля в квартусе, неудобств редактора не вижу. И не пользуюсь никакими внешними инструментами, кроме симулятора, и то только в "тяжелых случаях". Про встроенный симулятор согласен, штука неудобная для более менее сложных проектов, так как не поддерживает тестбенчи, а только вручную нарисованные сигналы.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Привет всем ... Тока скачал кваркус 6.0 ... запустил ... и чета ваще немогу понять ... в нем не очень удобно пиать ... в смысле если писать на верилоге ... то неудобна симуляция и ваще как я понял эта штука нужна уже в конце когда усе готово и тока осталось засунуть усе в микросхему ...

Так че? пишем в других прогах а потом уже в кваркус? ... Или я всетаки чтото проглядел и в кваркусе всетаки можно писать с нуля проэкт?

 

Context

www.context.cx

Есть все что надо, подсветка синтаксиса, автозаполение, хелп можно пристегнуть, например на VHDL...

ну и бесплатный

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А как сделать силумуляцию в ActiveHDL проекта из кваркуса?

 

В модельсиме (а он поддерживается разработчиками квартуса) вот так. И зачем Вам всякие левые пакеты. http://www.altera.com/support/software/pro...d-modelsim.html

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Привет всем ... Тока скачал кваркус 6.0 ... запустил ... и чета ваще немогу понять ... в нем не очень удобно пиать ... в смысле если писать на верилоге ... то неудобна симуляция и ваще как я понял эта штука нужна уже в конце когда усе готово и тока осталось засунуть усе в микросхему ...

Так че? пишем в других прогах а потом уже в кваркус? ... Или я всетаки чтото проглядел и в кваркусе всетаки можно писать с нуля проэкт?

 

Пользуюсь UltraEdit Studio. У него есть очень удобная фича сборки исходников в один проект плюс легкое подключение трансляторов, симуляторов и прочих сопутствующих инструментов.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Для VHDL и Verilog - посмотрите в сторону Notepad++:

Notepad++ это бесплатный редактор текстовых файлов...

...

Основные особенности Notepad++ :

Подсветка текста и возможность сворачивания блоков, согласно синтаксису языка программирования

Поддерживаются языки : C, C++, Java, C#, XML, HTML, PHP, Javascript, RC ресурсный файл, makefile, ASCII арт файл (расширение .nfo), doxygen, ini файл, batch файл, ASP, VB/VBS файлы исходных кодов, SQL, Objective-C, CSS, Pascal, Perl, Python, Lua, TCL, Assembler, Ruby, Lisp, Scheme, Properties, Diff, Smalltalk, Postscript, VHDL, Ada, Caml, AutoIt, KiXtart, Matlab and Verilog.

...

Настраиваемый пользователем режим подсветки синтаксиса

Авто-завершение набираемого слова

Выделение скобок при редактировании текста

...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Чесно меня заинтересовала симуляция в левых пакетах ... особенно в Активе ... чтобы с поддержкой тест бенчей... но вот вопрос ... если в кваркусе при симуляциивидны задержки сгнала на выходе ... что должно быть как в реалии ... то при симуляции во внешних пакетов она будет? или будет рассматриваться идеальный случай когда задержка равно нулю? ... и еще можно подробнее как симулировать в активе кваркусовский проект ... то шото неочень получается...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...