Перейти к содержанию
    

У меня такой вопрос. Стоит ли писать на языках проектирования, по моему рисовавть схемы проще, да и код более оптимальный. Я пробовал писать на VHDL, что то не пошло.

 

У меня такой вопрос. Стоит ли писать на языках проектирования, по моему рисовавть схемы проще, да и код более оптимальный. Я пробовал писать на VHDL, что то не пошло.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Все зависит от того что у Вас за проект .

Если небольшой и все есть для него то нет необходимости.

Другое дело, что нужно сделать что-то в проекте не так как существующие решения или сделать все в одной микросхеме.

Но вобщем дело вкуса.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

У меня такой вопрос. Стоит ли писать на языках проектирования, по моему рисовавть схемы проще, да и код более оптимальный. Я пробовал писать на VHDL, что то не пошло.

А поначалу всегда не идет - требует некоторых изменений психологии. Сравнивать эти 2 подхода принципиально невозможно, причин масса, никто уже давно сложных проектов как схемы не делает - это просто невозможно. Посмотрите форум, тут была масса обсуждений этого вопроса

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Да, схематический ввод или HDL's - это зависит от конкретной задачи.

Я сначала тоже делал все в схематике, чтоб освоится.

А потом решил попробовать AHDL. И как раз тока чуть-чуть разобрался, появилась задача сделать 256-разрядный сдвиговый регистр, управляемый к тому же (в зависимости от команды сдвигает от 0 до максимума, вроде цифровая регулируемая линия задержки).

Ну вот и представьте, скока бы я парился, рисуя сначала эту цепочку из 256 триггеров, а потом еще каким то образом разводя матрицу И-элементов, которая в зависимости от входного двоичного кода подавала бы на выход сигнал с определенного триггера.

А на AHDL я сделал это за 20 мин. Можно меньше, просто я еще чайник в ХДЛ

Вот така история.... :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Проблема эта имеет два среза: психологический и технологический...

 

1. Психологический заключается в том, что если изначально человек длительное время занимался проектированием цифровых устройств и ПЛИС в схематике, то переход к "программисткому" подходу затруднен, но не невозможен... Это более относится к "старожилам". У нас в компании такие имеются, и им было до одного времени проще рисовать ПЛИС, но...

 

2. Не далее как месяц назад, один из них подошел ко мне и попросил потренировать его команду "мастодонтов" в вопросе HDL-проектирования. Причина - увеличение размера и сложности проектов...

 

Статистика простая: до 10000 вентилей - можно и порисовать, свыше этого количества - трудно, и, чем больше, тем невозможнее...

 

HDL-проектирование как раз и возникло, когда объем кристаллов (ASIC) стал превышать 10000 вентилей.

 

Сейчас уже трудно кому-то объяснить, почему при проектировании больших ПЛИС (об ASIC и разговора нет) лучше делать на VHDL.

 

Это уже не субъективное мнение, а реальность...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Да, схематический ввод или HDL's - это зависит от конкретной задачи.

Я сначала тоже делал все в схематике, чтоб освоится.

А потом решил попробовать AHDL. И как раз тока чуть-чуть разобрался, появилась задача сделать 256-разрядный сдвиговый регистр, управляемый к тому же (в зависимости от команды сдвигает от 0 до максимума, вроде цифровая регулируемая линия задержки).

  Ну вот и представьте, скока бы я парился, рисуя сначала эту цепочку из 256 триггеров, а потом еще каким то образом разводя матрицу И-элементов, которая в зависимости от входного двоичного кода подавала бы на выход сигнал с определенного триггера.

  А на AHDL я сделал это за 20 мин. Можно меньше, просто я еще чайник в ХДЛ

Вот така история.... :)

Ну это пример очень типичный. Еще более ярко это все проявляется на StateMachines - попробуйте-ка нарисовать автомат десятка на полтора-два состояний... И даже если это удастся, оставте проект месяца на 3, а потом попробуйте разобраться в сделаном и внести хоть какие-нибудь изменения. Хи-хи. А если это все должен будет делать кто-то другой - почти невозможно.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

для применения в маленьких проектах - большой плюс - легко модифицировать код

 

то есть пока перерисуешь проводочки/заменишь библиотечные элементы...

а в HDL - переписал строчку,

к тому же все системы контроля версий гораздо лучше работают с текстовыми файлами, а не схематическими БД

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Хочется добавить.

С появлением такого блага как HDL, появилось больше свободы для разработчика, но она и боком может выйти, особенно для новичков.

В свое время, при освоении VHDL, описывал устройства на грани синтезируемости. В итоге, столько провозился с вещами, на которые при схемнов вводе даже и внимания не обратил бы. В последствии пришел к выводу: хотя и на HDL, но все ровно "рисовать" (в смысле представляя как это в железе будет) надо. Еще у схематики есть такой плюс - библиотечные элементы (счетчики и т.п.) имеют RPM макросы (правила взаимной ориентации логики), это в принципе и нормальный синтезатор тоже делает. Вобщем подводя выше сказаное мной, для новичка HDL вреден, сначала надо "потерется" в схематике а потом ...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Язык стоит изучить обязательно.Если у вас не получилось- может стоит купить-взять другую книжку?

Мне когда-то это помогло.Рисовал в схематике,пытался что-то в AHDL писать.При попытке понять что такое VHDL и Verilog и зачем они нужны - ну никак.

Потом перебрался на другое предприятие-а там Xilinx используют и на VHDL пишут. Купил другую книжку(Бибило) - и как попёрло!!!До сих пор прёт :).

З.ы.А недавно Бибило перелистовал и ужасался- как я в этой кривой местами книжке что-то понял:).

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Может, кто то книгу посоветует толковую.

Спасибо за ответы. У нас на фирме рисуют, так что обсудить то и не с кем. Рисуют то неплохо, но разобраться в чужом проекте действительно очень тяжело. Наверное, при переходе на языковое описание, много проблем для себя решу, да и для коллег.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Я обычно использую смешанный стиль. язык позволяет более гибко строить дизайн, а схематик позволяет не терять время на тривиальность. соотношение приблизительно 70% HDL / 30% схематик. есессно зависит от проекта. Главное когда синтезишь с языка самому представлять что хочешь получить, а то можно такое насинтезить :-)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Схемотехнический ввод VS HDL's это примерно как пользоваться чужой программой или написать ее самому. Для простых проектов схематик может и производит впечатление "генератора оптимального кода", но это только потому что вариантов мало. Когда пользуешься собственным кодом, то соображаешь где какой винтик на какой болтик пришпандорен. А в варианте со схемотехникой - имеешь только общее представление о проекте.

 

В любом случае стоит изучать HDL. А бояться изучать не стоит. Это на собственном опыте проверено. У нас курс VHDL в универе читали. Я по нему с трудом 4 схлопотал (больше не из-за сложности предмета а из-за разгильдяйства). Потом на работу пошел по этой теме опыта набираться. И за 3-4 месяца стали получаться уже отличные (мое субъективное мнение) проекты.

 

Как изучать: Сначала какое-нибудь русскоязычное издание. Лично я пользовался "Мурсаев, Грушвицкий, Угрюмов Проектирование БИС..." (название точно не помню, а книги под рукой нет). Этих изданий не так много (по пальцам можно пересчитать) поэтому выбор небольшой. Это для получения основ языка. Потом - закреплять знания на практике, начиная с простых примеров (счетчики, автоматы и т.д.) и до мультипроцессорных систем. И конечно же изучению способствует общение со специалистами (себя я пока к этой категории себя не отношу), например, на этом форуме.

 

Тяжело в учении, легко в бою.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Хочется еще добавить к уже сказанному .

Изначально ведь языки описания и придумывались для того чтобы

упростить создание изделий электронных и не только.

Другое дело как далеко они прошли от идеи, насколько оправдывают требования

их использующих.

Но в любом случае скорее всего будующее за ними.

И человек который хорошо владеет ими пойдет дальше простых схем.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Volkov

Вот ссылка на книжки...Книжки

я бы ещё добавил http://www.ozon.ru/?context=all_search_lis...archstring=vhdl ;-)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...