Перейти к содержанию
    

Builder

Свой
  • Постов

    513
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный

Информация о Builder

  • Звание
    iBuilder©
    Знающий

Контакты

  • Сайт
    Array
  • ICQ
    Array

Информация

  • Город
    Array

Retained

  • Звание
    Array

Посетители профиля

3 361 просмотр профиля
  1. Не, мне что-то не хочется возится с ними, для мелкой поделки возни много, да и драйвера у wch-ic "из коробки", не нужно со своими возится, попробую, закажу макетку поиграться.
  2. А вы их пробовали? Присматриваюсь к ним, но смущает что мало информации по ним, стабильно ли работают. Думаю вот заказать отладочную плату, поиграться.
  3. Так вот и я о том-же, не корректно считаю плата сделана, нет тут честных 5В поддержки, как пишут. Видимо расчёт на то, что 5В плату в наше время уже не найти, а древние уже давно выброшены на свалку.
  4. Насчёт - не интересовались несогласен, помню как в конце 90-х все носились с этим PCI, даже в MAX-сы не сильно крупные от альтеры запихивали, потом на 66 МГц переходили. Насчёт того что не ставят - да, много не ставят, но проблем с мамками где есть PCI нет, а в промышленных компах и ISA до сих пор есть. Потрясу производителя, спросил через дилера, молчат, ещё раз спрошу. Смотрите фото, там чётко видно, что сигналы PCI.
  5. Я может бы с этим согласился, если бы в описании этой платы не было "3.3 V/5 V Universal PCI, 32-bit, 33 MHz". И я вижу, что другой производитель до сих пор ставит "честные" буфера. Я задал вопрос поддержке, пока молчат. Для меня это выглядит как не корректная информация, написали 3.3 V/5 V Universal PCI, а по факту это не так. Да как-то не получается ограничения по напряжению с 5В на 3.3В на 22Ом, только диоды могут не выдержать, я уже не помню подробности, но в рекомендациях по сопряжению 5В и 3.3В эти способом выходят заметно более высокие сопротивления, поставив которые потеряешь скорость.
  6. Попалась на глаза PCI тайваньская плата дискретных портов ввода/вывода. PCI сделан на Lattice 3.3V MachXO. Она 3.3V. В принципе там описывается, что можно активируя диод и ставя резистор обеспечить подключение 5В сигналов. Но дело в том, что на плате резисторы стоят гораздо более низких номиналов, чем это прописано в мануале на MachXO, специально нашел где это описывают. И это понятно, если поставить высокоомные резисторы, скорость потеряется на RC цепочке, что получиться, если бахнуть туда пару К ом. Кто-то ещё видел, что такую наглость практикуют? А то какое-то радиолюбительство получается в коммерческом продукте. up. Прикрепил фото, микросхема там LCMXO1200C, резисторы 22R В общем или я что-то не понимаю, или это жуткая халтура.
  7. Попробовал. Переустановил, не удаляя не нужные мне семейства. Всё запустилось. Видимо есть некая зависимость, которая критична, а они её не отслеживают. Спасибо за совет!
  8. Переставлял винду. Поставил Diamond 3.10 64-bit for Windows, ничего не поменялось - эксепшин при старте. SP ставил - не помогло. Лицензия - с сайта FREE. Ставлось всё по умолчанию - на C диск. Есть тут представители, что могут дать совет, что делать? Что-то в моей системе не нравится. Система - как и было Win10 X64
  9. Исправляюсь: - Win10 x64 - старую (3.7) не трогал, доставлял в отдельные каталоги версии 3.9 и 3.10. Старая (3.7) продолжает работать. Сервиспаки для новых тоже ставил - не помогает.
  10. Может кто знает, что за фигня. Использовал версию 3.7 free. Решил обновиться, при запуске выскакивает окошко: Catch unknown exception. После нажатия ОК программа закрывается. Что на 3.9, что на 3.10. Непонятно что не нравится, может кто сталкивался? Поиск по инету ничего не дал.
  11. Понятно, как-то повлияет, но для количественной оценки нужно знать константы микросхемы и задержки, из которых по формулам, аналогичным app Altera, что прикрепил, оценивать как влияет. Причем это влияние сильно не линейно, в app показано, что доли наны очень сильно влияют на время сбоя, на несколько порядков. Но вопрос - стоит ли так делать в общем случае, остался открыт. Не в проекте лишний триггер и так погоды не делают - оставил два на входе.
  12. Так, ушли в сторону, обсуждать стандартные рекомендации, там и так всё понятно. Для новичком прикрепляю то, что уже было ранее на форуме. Вопрос был не в том, нужно ли 2, нужно, во всех рекомендациях так указывается, и объясняется почему, в этом случае вероятность ошибка крайне мала, в отличии от случая, когда один стоит. Иногда и 3 могут рекомендоваться, но тут нужно технологию чипа знать, что бы посчитать вероятность, оправдано ли 3. Причем во всех рекомендациях указано, что два регистра ставятся без логики между ними, тоже в принципе понятно - меньше вносим новых задержек, меньше вероятность повлиять на второй триггер, в худшую сторону. Вопрос был в том - насколько корректен код SM и почему, когда у него перед вторым триггером стоит простая логика. Я понимаю, что вроде как логику маппер скорее всего поставит от триггера родную - та что перед триггером, т.е. вероятность ухудшить ситуацию небольшая. Но это не гарантируется, и нужно ещё разобраться, насколько это ухудшает ситуацию. Не правильнее ли ставить два триггера и уже со второго что-то считать, как сделано в сообщении #13 (я у себя примерно так-же делаю). Да, так лишний может быть так (в среднем пол такта), но зато голова не болит, всё ли правильно работает. Или я что-то не понимаю... async_signals.pdf an042.pdf design_rules_for_stable.pdf CummingsSNUG2001SJ_AsyncClk_rev1_1.pdf
  13. Вы не знаете, будут они рядом стоящие, или не рядом. Только если обконстренить, что хлопотно очень. Если встречали в рекомендации по метастабильности такой вариант - покажите где.
  14. Тема старая, так получилось, случайно нарвался, копаясь в архивах по теме. Не совсем понял, по модулю SM: почему при пере-синхронизации в новый домен сигнал до анализа пропускается только один триггер, а не как обычно по классике в перессинхронизаторах - через два, и сделано в модуле из сообщения #15 ? По идее строка "reg [1:0] out_at_clkb;", должны быть "reg [2:0] out_at_clkb;" где первые два триггера просто пропускают сигнал и только перед третьим ставим логику. Разве так можно, с одним чистым триггером? Считаем что простейшая логика с сигналом от своего триггера работает так-же как и чистый триггер?
×
×
  • Создать...