Перейти к содержанию
    

bratok-gl

Свой
  • Постов

    44
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный

Информация о bratok-gl

  • Звание
    Участник
    Участник
  • День рождения 12.07.1980

Контакты

  • Сайт
    Array
  • ICQ
    Array
  1. В АО НИИ ТП изготавливают, декабристов 51 г.Москва
  2. Ищу монтажника в москве или ближнем подмосковье для монтажа перемычек на плату. Требется: 1.Изготовить перемычки (отрезки проводов). 2.Монтировать перемычки на плате до 12шт. Бывают и 2 перемычке и 11 перемычек, среднее где-то 6-7 на плату. Все зависит от партиии. 3.Подклеить длинные перемычки, чтобы не оторвались. Оплата происходит за два этапа, первый за установку (изготовление, установка, приклейка) перемычек 250 рублей за одну плату. Вторая после удачной проверки на работоспособноссть платы - 250 рублей. Процентов 20 из плат требуют дополнительно поставить перемычки 1-2 после проверки, так не всегда могу точно можно определить дефект платы. Плата возвращаеться вам на установку перемычек и повторно проверяется на работоспособность. В сумме за одну плату получается 500 рублей. В месяц примерно 40 плат, встреча раз в неделю для передачи и получения плат и денег ( район ВАО, СВАО). пример короткой пермычки, длинная вдали для контакта, вопросов и предложений пишите на [email protected] Антон
  3. Добрый день, такая проблема, подскажите... Кристал XQR2V3000-4cg717 Имеются четыре дифференциальных входа, которые через глобальные буферы проходят на модуль управления. Не получается подключить регистры в IO блоках, хотя выходные получилось,поставив на схеме регистры перед выходами. Здесь поставил те же регистры, поставил в свойствах Pack I/O Registers/Latchers into IOBs - For Input and Output
  4. Как я понял TRF370315 With 1.5-V CM at I, Q Baseband Inputs - вход I и Q с 1.5В опорой. После ЦАП стоят фильтры убирающие периодичность, а потом дифференциальный усилитель THS4511 у которого есть вход СМ, туда и подаю 1.5 В. А какая точноть номиналов 0.5 или 0.1%?? Опору для модулятора мне дают, а вот на ЦАП использую ГК-CPPV7-A7BR-160.0M БМГ Плюс. А вход IQ Vbb до 1В
  5. Как раз щас и буду запускать плату с TRF370315 и DAC5675A, вот и посмотрим:))) DAC уже работает а вот TRF пока нет... но греиться сильно градусов 50
  6. Да fill, я с нетерпением ждал вашего ответа, работает, я все таки думал что-бы RefDes автоматически присваивался, а gates (pin) выбирать самому - это удобнее:) Еще раз спасибо!
  7. Тоже самое dxd_pinfix_4.rar Правда меняется только один раз, при упаковке, а потом не меняется, так и должно?
  8. Да, действительно, делал Repackage_all, щас делаю Repackage Unfixed Symbol, тоже самое происходит, в чем загвоздка не пойму..... Вот видео записал ....dxd_pinfix.rar
  9. Создал компонент разъема (19 пин) из одного символа, при каждой упаковке меняются пины в разъеме, поставил Frozen Package=fix, пробовал Fix, Block, BlockFix, что я не правильно делаю?
  10. Пробовал отдельно найти impact в версии ISE6.3 и переписать на другой комп - не запускался! есть ли отдельные программы для заливки?? а то ставить весь ISE не всегда надо
  11. ВАОООООООО!!!! Вот это да! Спасибо большое все заработало! Я не и не думал что проблема выходит за рамки программы Mentor, наверно скрипт писали под их винду:) Получается что у кого работает не русифицированный Windows XP. Вот только интересно как я сам должен был до этого дойти, в каких документах это написано:) Еще раз, спасибо, кстати у нас лицензия на ментор куплена на несколько компов (НИИТП), только я всеравно на пиратской пока, так как сеть по предприятию плоховата и сервера под это дело еще не поставили, а зависеть от другого компа не прикольно.
  12. Fill, извените еще раз, так я и не нашел где установить точку в качестве разделителя... Создал проект в нем под 300 компонентов из них 197 конденсаторов, ПЛИС XQR2V3000-4CG717V через IO designer, два DAC5675 всякой обвязки, и перечень пришлось щас делать по компонентам как REFDES легли:)
  13. Все сделал, ошибка регистрации исчезла, но проблема так и осталась с LOAD dblHZoneSize = CDbl(val)*dblUnitScale за что отвечает эта строчка в скрипте assignrefdes.efm?
×
×
  • Создать...