Перейти к содержанию
    

Abo

Свой
  • Постов

    117
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный

Информация о Abo

  • Звание
    Частый гость
    Частый гость

Посетители профиля

1 714 просмотра профиля
  1. Вот еще одна удивительная штука. Похоже не работает в анализаторе PDS директива set_false_path. В приложении проект, где все входы и выходы пометил этой директивой. А анализатор все равно предупреждения пишет. Тот же проект с теми же директивами анализатора (create_clock и set_false_path) в Quartus компилируется без предупреждений. Может я неправильно задал эти констрейны? set_false_path -from [get_ports {key}] set_false_path -to [get_ports {TEST_OUT[*]}] pangotest.zip
  2. А там точно 5к? Такого номинала ни в ряду Е24, ни в ряду Е96 нет. Осциллограмму снимали отключив нагрузку? Землю щупа к земле ПЛИС подключили?
  3. Исправили неправильно. Теперь в этом файле описание еще дальше от реальности...
  4. как вы писали ранее подтяжка 5кОм. а время нарастания от 0 до 3,3В судя по осциллограмме около 1мс. 1мс = 6*T = 6*RC. Ну вот и получается 1e-3s = 6 * 5e3 Ohm * С, откуда C = 3.33e-8F или 33nF.
  5. или конденсатор на 33нФ параллельно подключен (если подтяжка 5кОм) - это весьма похоже.
  6. Как я понял, вы логическим анализатором смотрите, а что аналоговый вход осциллографа показывает? Может там емкость какая через подтяжку заряжается?
  7. Перевод оригиналу соответствует, а вот оригинал фактам нет. В китайском варианте UG030003 одно написано, в UG030008 другое. Перевода UG030008 на фтп нет. А вот вход разрешения не влияет на выход - это я сам наблюдал.
  8. Продолжил эксперименты с с блоком GTP_OSC_E2. У него, судя по описанию 2 выхода (CLKCRC с фиксированной частотой 2,08МГц, CLKOUT с выхода делителя, коэфф. деления задается параметром). Так вот, на выходе CLKCRC сигнала нет ни при каких условиях. У блока также есть вход EN_N,который должен разрешать выход CLKOUT. Так вот он тоже не оказывает на него никакого влияния - сигнал на выходе CLKOUT всегда есть. Хорошо хоть делитель работает как описано. Вот такая понимаешь загогулина китайская....
  9. Благодарю за участие. А вот интересно, у китайцев напрямую можно об этом спросить? Письмо может им написать, переведя гуглом на иероглифы?
  10. Приветствую, коллеги. Пришлось осваивать Панго серии компакт. Сделал простенький тестовый проект с использованием встроенного в микросхему генератора (в приложении весь проект). сделал констрейны на клоки и выходы. Однако при сборке получаю критическое предупреждение: "Place-2028: CASCADED_GLOBAL_CLOCK: the driver GTP_OSC_E2_inst/gateop fixed at OSC_11_174 is unreasonable. Sub-optimal placement for a clock source and a clock buffer." Не понятно, как от этого предупреждения избавиться. Чтение доступной документации и поиск не привели даже к догадке, где искать ответ. Может конечно не все прочитал. Прошу совета, куда рыть? Ну не могу я себе позволить оставлять в проектах даже предупреждения. pangotest.zip
  11. Да, родная FT2232H опознается программатором от PDS (сканировать цепочку не пробовал - нечего подключить) , а вот ее эмуляция на BL702 c платы TangNano9K c подключенной плис говин не опознается при сканировании цепочки.
  12. Коллеги, подскажите пожалуйста, а какой JTAG адаптер нужно использовать с PDS и где его в наших условиях купить? И еще: LATTICE HW-USBN-2B говорят подходит. Это правда?
  13. Если почитать дальше то будет видно: То есть, последовательность ^x ^c мониторится BL616 и если встречается в пользовательском вводе, то открывается командный процессор в BL616. После этого становятся доступны команды управления PLL. Отсюда вывод: нет необходимости в наличие какой либо конфигурациии в ПЛИС для управления PLL, достаточно послать в терминале указаную последовательность, что по моему весьма логично.
  14. Благодарю за информацию. Были предположения, что для говина критичны частота и необходимость дополнительных импульсов на TCK в RunTest состоянии. К сожалению openFPGALoader прикрутить будет сложно, в нашем случае все упирается в быстродействие МК (CY7C68013) который ногами JTAG управляет. Ну никак требуемых 2 МГц (судя по доке говина) он не надрыгает, да и прерывания нельзя запретить - а они будут лаги по длительности импульсов на JTAG давать - ногами в фоне дрыгаем. По поводу кривости SVF от говина. Я с разработчиками из туманного Альбиона прошлой весной три месяца общался и к версии 1.9.8.6 они выкатили вполне удобоваримый генератор SVF, который учитывает наличие дополнительных микросхем в цепочке - у меня в устройстве в одной цепочке сразу 4 плисины. Наш плейер его прожевал без ошибок, вот только запрограммировать не удалось. Буду неспеша придумывать варианты. Производству придется тыкать программатор. Может это и к лучшему.
  15. Здравствуйте всем, После трудностей с закупкой макс10 от альтеры перетоптали изделие на плис от говина GW1N-9LQ. Изначально альтеровская плис шилась прямо в устройстве через JTAG рядом стоящим МК самописным SVF плейером. Попытка проделать этот трюк с говином не удалась, SVF файл проигрывается - плисина не шьется, вылетает с ошибкой при сравнении. FTDI программатор шьет без вопросов. Производство ворчит, отвыкли уже программатор тыкать и вот опять. Кто-нибудь может подсказать в чем особенность JTAG у говина по сравнению с альтерой. Да, кстати, наш МК выдает максимум только 1,8МГц на JTCK, насколько это критично?
×
×
  • Создать...