Перейти к содержанию
    

Quartus Prime и Active-HDL

Добрый день уважаемые.

Подскажите , если я сгенерировал корку в мастере квартуса и хочу её промоделировать в Active-HDL , что нужно сделать для этого?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Добрый день уважаемые.

Подскажите , если я сгенерировал корку в мастере квартуса и хочу её промоделировать в Active-HDL , что нужно сделать для этого?

Я просто добавляю *.vo файл в проект - все рабоает. Может будет прописать бибилиотеки в TCL скрипте.

Изменено пользователем Burenkov Sergey

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Я просто добавляю *.vo файл в проект - все рабоает. Может будет прописать бибилиотеки в TCL скрипте.

спасибо , получилось чуток продвинулся .

 

Теперь не могу найти что-то с названием : arriav_clkena_encrypted

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Что оно полностью написало можете показать.

 

Такое ощущение, что библиотеки QII у Вас не подключены к симулятору.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Что оно полностью написало можете показать.

 

Такое ощущение, что библиотеки QII у Вас не подключены к симулятору.

Это куда нужно посмотреть чтобы проверить?

 

ELBREAD: Error: Design unit arriav_clkena_encrypted instantiated in arriav_ver.arriav_clkena not found in searched libraries: altera_lnsim_ver, altera_mf_ver, altera_ver, arria5_tst1, arriav_hssi_ver, arriav_pcie_hip_ver, arriav_ver, lpm_ver, mypll, sgate_ver.

# ELBREAD: Error: Elaboration process completed with errors.

# Design: Error: Elaboration failed

 

причём я ничего такого сам не называл - arriav_clkena_encrypted

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Библиотеки (Tools->Launch Simulation Library Compiler) у Вас скомпилены и подключены при старте симулятора?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Библиотеки (Tools->Launch Simulation Library Compiler) у Вас скомпилены и подключены при старте симулятора?

 

в квартусе это я делал , а вот спрашивал ли о чём нибудь меня симулятор - не помню.

В симуляторе active-hdl в насторойках Design - Settings -Compilation (simulation) Verilog , я подключил библиотеки какие там были.

 

c:\intelFPGA\17.0\quartus\eda\sim_lib\ - прописал

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Попробуйте поиском по тексту(содержимому) пройтись в папке скомпилированных библиотек квартуса, ищите arriav_clkena_encrypted, если найдет то смотрите что за либа, убедитесь что на подключена.

Хотя странно что у себя я не нахожу ни одного модлуя который бы назывался *_encrypted... А вы когда генерили кору, поставили галочку чтоб генерировал еще и фалы для моделирования?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Попробуйте поиском по тексту(содержимому) пройтись в папке скомпилированных библиотек квартуса, ищите arriav_clkena_encrypted, если найдет то смотрите что за либа, убедитесь что на подключена.

Хотя странно что у себя я не нахожу ни одного модлуя который бы назывался *_encrypted... А вы когда генерили кору, поставили галочку чтоб генерировал еще и фалы для моделирования?

 

я снёс activ-hdl 9 и поставил 10.4 и всё заработало (более менее, с нюансами)

 

 

Ещё вопрос уважаемые - у меня в проекте стоял модуль custom_phy для гигабитных трансиверов ,

без него всё симулится а с ним выдаёт вот это:

ELBREAD_0081 custom_phy.v (34): Design unit altera_xcvr_custom instantiated in arria5_tst1.custom_phy not found in searched libraries

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

я снёс activ-hdl 9 и поставил 10.4 и всё заработало (более менее, с нюансами)
Я на форуме писал про 10.4 и 10.4a, что у меня всё нормально. А через пару дней при запуске моделирования стало виснуть так, что комп только на сброс реагирует.

По поводу отсутствия нужных библиотек, вы прописали их для нужного вам FPGA - Aria V? Не знаю как гигабитные трансиверы вы используете, но, например, DDR3 взяв из IP каталога в своем проекте я не смог промоделировать ни в Active-HDL ни в ModelSim. И не я один кстати :(

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Я на форуме писал про 10.4 и 10.4a, что у меня всё нормально. А через пару дней при запуске моделирования стало виснуть так, что комп только на сброс реагирует.

По поводу отсутствия нужных библиотек, вы прописали их для нужного вам FPGA - Aria V? Не знаю как гигабитные трансиверы вы используете, но, например, DDR3 взяв из IP каталога в своем проекте я не смог промоделировать ни в Active-HDL ни в ModelSim. И не я один кстати :(

Да, библиотеки для арии5 . Может я ещё рано радуюсь - посмотрим, я толком ещё не моделил.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Да, библиотеки для арии5 . Может я ещё рано радуюсь - посмотрим, я толком ещё не моделил.

Я подозреваю их надо скачать, скомпилировать конкретной версией симулятора, и подключить как global library.

Сам недавно такое проделал (была необходимость), собственно там кажется даже TCL скрипт для этого лежит.

И после этого уже вся альтерская встроенная дребедень видится нормально.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...