Перейти к содержанию
    

modelsim_6.0SE+ISE6.2.3i=stack overflow

Ни кто не сталкивался с проблемой падения по stack overflow modelsim'а на библиотечных элементах из unisims ISE? На simprims не падает.

 

Процесс компиляции библиотек стандартный:

vlib xxx

vmap yyy xxx

vlog -work yyy zzz

проходит без ошибок. Падает на загрузке для симуляции.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Сейчас решаю проблему с ModelSim 5.8, упорно падает на попытке запустить симуляцию PLDA PCI-X.

Честно говоря, надеялся, что проблема решится, когда добуду ModelSim 6.0

А падает именно по stack overflow? Именно такое сообщение?

На буржуйских сайтах пишут, что ModelSim падает почти молча, если не находит какой-нибудь файл.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Именно по переполнению стека - файлик остается типа vsim_trap.log чтоли.

Если что-то не открылось - это видно в файле transcript, а в моем случае там все ок.

 

P.S. 5.8 падал точно так же.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В transcript все ок.

На каждой попытке в файл vsim_stacktrace.vstf дописывается примерно такая запись:

# Current time Mon Oct 18 16:40:27 2004

# ModelSim Stack Trace

# Program = vsim

# Id = "5.8c"

# Version = "2004.03"

# Date = "Mar 25 2004"

# Platform = win32

# 0 0x005d6e19: '<unknown (@0x5d6e19)> + 0x11a0d9'

# 1 0x005d9563: '<unknown (@0x5d9563)> + 0x11c823'

 

# Corrupt Call Stack

 

Такие ошибки конечно можно искать как льва в пустыне, но библиотеки симуляции pre-compiled, да к тому же компоненты связаны между собой сильно, не очень-то поотсекаешь. Сейчас начну отрезать кусочки от pci-ядра, пока не останется голая проблема.

 

Скажите, а ведь ModelSim у вас в редакции не OEM, в смысле, не Xilinx edition?

Может быть стоит вынуть ModelSim Xilinx? В overnet/edonkey они есть.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Дело модет быть в неправильном лечении(если оно имеет место быть).

У меня было подобное, только вылетал с ошибкой сегментации памяти.

рекомендую использовать ...all, кто знает тот поймет

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Спасибо!!!!!!!!!!

Получилось с помощью ...or

Теперь все работает.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Можно обратиться к спецам по Modelsim?. Решил сменить свой MS 5.6 XE на MS 6.0 SE. XE у меня был подстёгнут к Xilinx ISE 5.1 При подстёгивании MS 6.0 SE он при попытке моделирования (из ксайлинкса) ругается на отсутствие библиотек? В общем ругается. По-моему там действительно маловато библиотек. Как исправить подобное ?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Насколько я понимаю работаешь с xilinx. :)

Тогда необходимо с ихнего сайта взять update для modelsim'а.

Но не гарантирую, что получится :(. Я такую проблему буду только в четверг решать... :(

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Yra, слухай сюды.

 

1. Из моделсима ХЕ возьми папку xilinx и засунь её на то же место в моделсим 6.0 SE.

 

2. Найди в моделсиме ХЕ файл modelsim.ini и открой его в блокноте. Нади в нём описания библиотек, по моему это абзацы Libraries, Verilog sektion, VHDL sektion - точно не помню, сам сообрази если что. Copy-Paste всё это в файл modelsim.ini из моделсима 6.0 SE.

 

Всё. Если чего не получится - пиши в эту конфу.

 

ЗЫ: У меня два моделсима на одном компе ужасно глючили, поэтому сначала снеси старый моделсим, сохранив из него папку xilinx и файл modelsim.ini, на всякий случай почисти реестр и переменную среды LM_LICENSE_FILE и только тогда ставь и ломай новый моделсим.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Какие ещё есть полезные возможности у моделсима? Я тут слышал на форуме, что можно смоделить целую систему ( зачем к томуже в папке моделсим находится gcc, gdb и другие примочки). Пока я юзаю его самым примитивнейшим образом : как отладчик HDL- модели. Глубоко вникать в его документацию, выискивая неизвестно что - времени нет. Можете хотя- бы обозначить тезисы ?

 

Кстати, допустим имеется блок, (на Verilog или VHDL) в котором нужно посмотреть промежуточные сигналы. Обязательно- ли для этого создавать специальные выходы, подключать к ним в тестовом файле сигналь. Или есть какие-нибудь операторы разрешения области видимости, которыми можно воспользоваться в тестовом файле (тестовый файл на Verilog или на VHDL). В ксайлинксе 2.1i было на этот счёт проще , только вот на педали без файла сценария всё время жать приходилось :rolleyes:

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Моделсим 6 я подключил всё- таки к ксайлинксу (всего-то создал compxlib.bat в bin- директории ISE, перекомпилил библиотеки, затем дописал пути к ним в modelsim.ini)

Вроде работает, но как-то стрёмно : иногда поругивается, что "системное время было переведено назад поэтому не буду моделить" . Кто- нибудь сталкивался с подобной проблеммой и вообще "Как правильно вылечить моделсим ?"

ОТБОЙ насчёт ругани моделсима : он ругается на переустановку даты, если проект находится на другом логическом/физическом диске

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Сообщение о времени это не ошибка, а элемент защиты программы.

Что-бы вы при наличии лицензии на год, или eval не переводили дату.

 

Лечится правильно генератором от EFA, главное правильно указать дату релиза.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...