Перейти к содержанию
    

Zynq PS_clk to PL_clk

Есть плата с zynq XC7Z030-1FBG484C. На кристалл подан только один клок 50МГц на ногу A12 (PS_clk).

Текущий проект собран в ISE 14.6 (раньше работали с кинтексом, потом перешли на цинк, исходники такие же остались).

Плату изготовили, но накосячили с ддр для процессора, сейчас исправляют. Есть желание проверить работу логики ПЛИС без процессора.

Вот вопрос, можно ли получить 50 МГц с ноги A12 в логике ПЛИС?

 

Погуглил, вроде там pll стоит, с помощью которого можно из PS_clk получить до четырех клоков для PL. Это pll конфигурится в вивадо? В ISE то ничего не сделать?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Запускаете coregen и делаете.

Так нога то из процессорной части. Открыл PlanAhead. Там эта нога A12 - Read Only, я ж ее никак заюзать не могу....

Я с ксайлинксом мало работал, и давно уже. Вот и спрашиваю. Что в coregen дальше делать?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Так нога то из процессорной части. Открыл PlanAhead. Там эта нога A12 - Read Only, я ж ее никак заюзать не могу....

Я с ксайлинксом мало работал, и давно уже. Вот и спрашиваю. Что в coregen дальше делать?

 

Напрямую с этой ноги такт взять нельзя нельзя.

Для вывода клока нужно сконфигурировать процессорные PLL, которые выдадут такт уже на выводы FCLK_x процессора.

 

То что DDR не работает не смертельно, можно временно работать из OCM.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...